1 - 15 |
Photomask plasma etching: A review Wu BQ |
16 - 19 |
Single-water-processed trench-sidewall integration and its application in a micro resonant detector for vacuum monitoring Li XX, Xu W, Chen Y, Luo L, Gu L, Liu M, Cheng BL, Wang YL |
20 - 24 |
Synthesis of single crystalline silicon nanowires and investigation of their electron field emission McClain D, Solanki R, Dong LF, Jiao J |
25 - 33 |
Investigation of the nonuniformities in polyurethane chemical mechanical planarization pads Zantye PB, Kumar A, Dallas W, Ostapenko S, Sikder AK |
34 - 37 |
Optical investigation of the interdot carrier transfer process in InAs/GaAs quantum-dot heterosystems Nee TE, Wang JC, Shen HT, Cheng CC, Lin RM |
38 - 45 |
Quantum charge transportation in metal-oxide-Si structures with ultrathin oxide Filip V, Wong H, Nicolaescu D |
46 - 49 |
Optical properties of BC3 nanotubes Chen SC, Chen IY, Ho YH, Lin MF |
50 - 54 |
Fabrication of short-wavelength photonic crystals in wide-band-gap nanocrystalline diamond films Baldwin JW, Zalalutdinov M, Feygelson T, Butler JE, Houston BH |
55 - 58 |
Nanostenciling through a cm(2)-wide silicon membrane Blech V, Nobuyuki T, Kim B |
59 - 63 |
Self-aligned cathodes in recessed geometry for reduced gate currents in nanostructured carbon triodes Bae S, Park KH, Lee S, Koh KH |
64 - 82 |
Modeling radiation-induced carbon contamination of extreme ultraviolet optics Hollenshead J, Klebanoff L |
83 - 86 |
CoSix thermal stability on narrow-width polysilicon resistors Chen YM, Tu GC, Wang YL, Hwang GJ, Lo CY |
87 - 90 |
Superior electrochemical performance of CNx nanotubes using TiSi2 buffer layer on Si substrates Fang WC, Huang JH, Sun CL, Chen LC, Papakonstantinou P, Chen KH |
91 - 96 |
Gate oxide reliability in an integrated metal-oxide-semiconductor field-effect transistor-microelectromechanical system technology Fleming RM, Draper BL |
97 - 103 |
Experimental characterization of an inductively coupled acetylene/hydrogen plasma for carbon nanofiber synthesis Lin YY, Wei HW, Leou KC, Lin H, Tung CH, Wei MT, Lin C, Tsai CH |
L1 - L5 |
Nanoscopy of near-field distribution on plasmonic nanostructures Luo XG, Lv YG, Du CL, Ma JX, Wang H, Li HY, Yang GR, Lin XD, Yao HM |
L6 - L9 |
Cl-2/O-2-inductively coupled plasma etching of deep hole-type photonic crystals in InP Carlstrom CF, van der Heijden R, Karouta F, van der Heijden RW, Salemink HWM, van der Drift E |
104 - 107 |
Etch damage and deposition repair of vertical-cavity surface-emitting lasers Leisher PO, Raftery JJ, Kasten AM, Choquette KD |
108 - 112 |
Advanced electrical imaging of dislocations in Mg-In-codoped GaN films Chen SH, Hou SP, Hsieh JH, Chang FC, Chen WK |
113 - 117 |
Influence of fluorine/carbon atomic ratio on superhydrophobic behavior of carbon nanofiber arrays Hsieh CT, Chen JM, Huang YH, Kuo RR, Li CT, Shih HC, Lin TS, Wu CF |
118 - 130 |
Modeling extreme ultraviolet H2O oxidation of ruthenium optic coatings Hollenshead J, Klebanoff L |
131 - 135 |
All-around contact for carbon nanotube field-effect transistors made by ac dielectrophoresis Zhang ZB, Zhang SL, Campbell EEB |
136 - 138 |
Fabrication of single electron transistors with molecular tunnel barriers using ac dielectrophoresis technique Hong SH, Kim HK, Cho KH, Hwang SW, Hwang JS, Ahn D |
139 - 142 |
Synthesis and characterization of self-catalyzed CuO nanorods on Cu/TaN/Si assembly using vacuum-arc Cu deposition and vapor-solid reaction Chen US, Chueh YL, Lai SH, Chou LJ, Shih HC |
143 - 146 |
Coulomb blockade behavior in individual multiwalled carbon nanotubes Hsiou YF, Yang YJ, Chen CD, Chan CH |
147 - 151 |
Gate-controlled ZnO nanowires for field-emission device application Li SY, Lee CY, Lin P, Tseng TY |
152 - 156 |
Growth of GaAs on vicinal Ge surface using low-temperature migration-enhanced epitaxy Tanoto H, Yoon SF, Loke WK, Fitzgerald EA, Dohrman C, Narayanan B, T Doan M, Tung CH |
157 - 162 |
Electrical transport properties of GaAs structures with a pair of Be and donor impurity delta-doped layers Idutsu Y, Shimogishi F, Noh JP, Otsuka N |
163 - 169 |
Evolution of sidewall roughness during reactive-ion etching of polymer waveguides Pani SK, Wong CC, Sudharsanam K, Premachandran CS, Iyer MK |
170 - 177 |
Correction of stray-light-induced proximity effect by complementary double exposure in microlithography Kim YC, De Bisschop P, Leunissen LHA, Vandenberghe G |
178 - 184 |
Ion-implanted edge termination for GaN Schottky diode rectifiers Mohammad SN, Eddy CR, Kub F |
185 - 189 |
Crystallographic and electrical characterization of tungsten carbide thin films for Schottky contact of diamond photodiode Liao MY, Koide Y |
190 - 194 |
Electrical resistivity of polycrystalline Cu interconnects with nano-scale linewidth Shimada M, Moriyama M, Ito K, Tsukimoto S, Murakami M |
195 - 199 |
Room-temperature nanocontact printing using soft template Nakarnatsu K, Tone K, Namatsu H, Matsui S |
200 - 204 |
Resist reflow process simulation study for contact hole pattern Kim SK |
205 - 210 |
Ni-carbon nanotubes nanocomposite for robust microelectromechanical systems fabrication Tsai LN, Cheng YT, Hsu WS, Fang WL |
211 - 215 |
Thermal coupling in multishot laser microvia drilling for interconnection application Tan B, Venkatakrishnan K |
216 - 223 |
Prediction of clamping pressure in a Johnsen-Rahbek-type electrostatic chuck based on circuit simulation Kanno S, Kato K, Yoshioka K, Nishio R, Tsubone T |
224 - 230 |
electron-beam focusing in 1 : 1 electron projection lithography system Sidorkin V, Moon CW, El Mostafa B, Lee SW, Yoo IK |
231 - 236 |
Methods for fabricating Ohmic contacts to nanowires and nanotubes Stern E, Cheng G, Klemic JF, Broomfield E, Turner-Evans D, Li C, Zhou C, Reed MA |
237 - 244 |
Doping integrity diagnostics of planar transistor channel structures by scanning nonlinear dielectric microscopy Matsukawa T, Masahara M, Tanoue H, Kanemaru S, Suzuki E |
245 - 249 |
Dependence of local electronic structure in p-type GaN on crystal polarity and presence of inversion domain boundaries Zhou X, Yu ET, Green DS, Speck JS |
250 - 254 |
Change in electrical resistance caused by stress-induced migration Aoyagi M |
255 - 258 |
Fabrication of hybrid bilayer nanostructure by duo-mold imprinting Zhao W, Low HY |
259 - 262 |
Optical characterization of ZnMnO-based dilute magnetic semiconductor structures Buyanova IA, Chen WM, Ivill MP, Pate R, Norton DP, Pearton SJ, Dong JW, Osinsky A, Hertog B, Dabiran AM, Chow PP |
263 - 266 |
Effect of hydrogen plasma exposure on the amount of trans-polyacetylene in nanocrystalline diamond films Teii K, Ikeda T, Fukutomi A, Uchino K |
267 - 270 |
Synthesis and characterization of calixarene derivatives as resist materials for electron-beam lithography Aktary M, Westra KL, Freeman MR, Tanaka Y |
271 - 273 |
Nonlinear optical polymer patterned by nanoimprint lithography as a photonic crystal waveguide structure Okinaka M, Inoue S, Tsukagoshi K, Aoyagi Y |
274 - 278 |
Lithographic flare measurements if Intel's microexposure tool optics Chandhok M, Lee SH, Roberts J, Rice BJ, Cao HB |
279 - 283 |
Nanoscale layer etching by short-time exposure of substrates to gas discharges using moving patterned shutter Oehrlein GS, Hua X, Stolz C, Jiang P |
284 - 287 |
Thermal simulations of three-dimensional integrated multichip module with GaN amplifier and Si modulator Anderson TJ, Ren F, Covert L, Lin J, Pearton SJ |
288 - 291 |
Tunable growth of ZnO nanorods synthesized in aqueous solutions at low temperatures Hsiao CS, Peng CH, Chen SY, Liou SC |
292 - 297 |
Effect of rapid thermal annealing on the optical and electrical properties of metamorphic high electron mobility transistor structures with composite InGaAs/InP channel Liu YW, Wang H |
298 - 303 |
Dependence of SiO2 etch rate on sidewall angle as affected by bottom materials in a high-density CHF3 plasma Lee GR, Min JH, Lee JK, Moon SH |
304 - 307 |
Luminescent and structural characteristics of ZnO nanorods fabricated by postannealing Lin CC, Liao HC, Chen SY, Cheng SY |
308 - 311 |
Morphology control of silicon nanotips fabricated by electron cyclotron resonance plasma etching Hsu CH, Huang YF, Chen LC, Chattopadhyay S, Chen KH, Lo HC, Chen CF |
312 - 315 |
Structural and electrical characterizations of ultrathin HfO2 gate dielectrics treated by nitrogen-plasma atmosphere Seong NJ, Lee WJ, Yoon SG |
316 - 320 |
Resist effects at small pitches Van Steenwinckel D, Lammers JH, Koehler T, Brainard RL, Trefonas P |
321 - 325 |
Investigation of the magnetic susceptibility of nanocomposites obtained in zero-field-cooled conditions Jacobsohn LG, Hundley MF, Thompson JD, Dickerson RM, Nastasi M |
326 - 330 |
Resist-based measurement of the contrast transfer function in a 0.3 numerical aperture extreme ultraviolet microfield optic Cain JP, Naulleau P, Spanos CJ |
331 - 335 |
Interfacial energy and strength of multiwalled-carbon-nanotube-based dry adhesive Zhao Y, Tong T, Delzeit L, Kashani A, Meyyappan M, Majumdar A |
336 - 339 |
Fabrication of photonic crystals using a spin-coated hydrogen silsesquioxane hard mask O'Faolain L, Kotlyar MV, Tripathi N, Wilson R, Krauss TF |
340 - 344 |
Binary blends of diblock copolymers as an effective route to multiple length scales in perfect directed self-assembly of diblock copolymer thin films Edwards EW, Stoykovich MP, Nealey PF, Solak HH |
345 - 348 |
Aligned carbon nanotubes/fibers for applications in vacuum microwave amplifiers Milne WI, Teo KBK, Minoux E, Groening O, Gangloff L, Hudanski L, Schnell JP, Dieumegard D, Peauger F, Bu IYY, Bell MS, Legagneux P, Hasko G, Amaratunga GAJ |
349 - 357 |
Physical and electrical properties of Ta-N, Mo-N, and W-N electrodes on HfO2 high-k gate dielectric Lu J, Kuo Y, Chatterjee S, Tewg JY |
358 - 361 |
In situ growth of single-walled carbon nanotubes by bimetallic technique with/without dielectric support for nanodevice applications Shin KY, Su HC, Tsai CH |
364 - 364 |
Papers from the Eighth International Workshop on the Fabrication, Characterization, and Modeling of Ultra-Shallow Doping Profiles in Semiconductors - Preface Kopanski J |
365 - 369 |
Scanning tunneling microscopy detection of individual dopant atoms on wet-prepared Si(111): H surfaces Nishizawa M, Bolotov L, Tada T, Kanayama T |
370 - 374 |
Scanning capacitance microscopy: Quantitative carrier profiling down to nanostructures Giannazzo F, Raineri V, Mirabella S, Impellizzeri G, Priolo F, Fedele M, Mucciato R |
375 - 380 |
Extracting active dopant profile information from carrier illumination power curves Dortu F, Clarysse T, Loo R, Vandervorst W |
381 - 389 |
Active dopant characterization methodology for germanium Clarysse T, Eyben P, Janssens T, Hoflijk I, Vanhaeren D, Satta A, Meuris M, Vandervorst W, Bogdanowicz J, Raskin G |
390 - 393 |
Unique application of atomic force microscopy and scanning capacitance microscopy-two-dimensional-carrier profiling through thick insulating layers Chao KJ |
394 - 398 |
Room temperature migration of boron in crystalline silicon during secondary ion mass spectrometry profiling Napolitani E, De Salvador D, Pesce M, Camera A, Mirabella S, Priolo F |
399 - 403 |
Dopant profiling in NixSi1-x gates with secondary-ion-mass spectroscopy Janssens T, Pawlak MA, Kittl JA, Fouchier M, Lauwers A, Kottantharayil A, Vandervorst W |
404 - 407 |
Comparison of scanning capacitance microscopy and scanning Kelvin probe microscopy in determining two-dimensional doping profiles of Si homostructures Park SE, Nguyen NV, Kopanski JJ, Suehle JS, Vogel EM |
408 - 413 |
Near-surface secondary-ion-mass-spectrometry analyses of plasma-based B ion implants in Si Buyuklimanli TH, Magee CW, Marino JW, Walther SR |
414 - 420 |
Noncontact sheet resistance and leakage current mapping for ultra-shallow junctions Faifer VN, Current MI, Wong TMH, Souchkov VV |
421 - 427 |
Characterization of ultralow-energy implants and towards the analysis of three-dimensional dopant distributions using three-dimensional atom-probe tomography Thompson K, Bunton JH, Kelly TF, Larson DJ |
428 - 432 |
Ultrashallow profiling using secondary ion mass spectrometry: Estimating junction depth error using mathematical deconvolution Yang MH, Mount G, Mowat I |
433 - 436 |
Fluorine incorporation in preamorphized silicon Impellizzeri G, Mirabella S, Bruno E, Priolo F, Napolitani E, Carnera A |
437 - 441 |
Effect of fluorine on the activation and diffusion behavior of boron implanted preamorphized silicon Paul S, Lerch W, Colombeau B, Cowern NEB, Cristiano F, Boninelli S, Bolze D |
442 - 445 |
Effect of buried Si/SiO2 interface on dopant and defect evolution in preamorphizing implant ultrashallow junction Hamilton JJ, Colombeau B, Sharp JA, Cowern NEB, Kirkby KJ, Collart EJH, Bersani M, Giubertoni D |
446 - 449 |
Effect of stress on the evolution of mask-edge defects in ion-implanted silicon Olson CR, Kuryliw E, Jones BE, Jones KS |
450 - 455 |
Application of flash-assist rapid thermal processing subsequent to low-temperature furnace anneals Camillo-Castillo RA, Law ME, Jones KS, Lindsay R, Maex K, Pawlak BJ, McCoy S |
456 - 461 |
Calculations of effect of anisotropic stress/strain on dopant diffusion in silicon under equilibrium and nonequilibrium conditions Dunham ST, Shih CL |
462 - 467 |
Application of molecular dynamics for low-energy ion implantation in crystalline silicon Chan HY, Srinivasan MP, Montgomery NJ, Mulcahy CPA, Biswas S, Gossmann HJL, Harris M, Nordlund K, Benistant F, Ng CM, Gui D, Chan L |
468 - 472 |
Size effects on the electrical activation of low-energy implanted B in Si Giannazzo F, Raineri V, Bruno E, Mirabella S, Impellizzeri G, Priolo F, Napolitani E |
473 - 477 |
Nonconventional flash annealing on shallow indium implants in silicon Gennaro S, Giubertoni D, Bersani M, Foggiato J, Yoo WS, Gwilliam R |
478 - 481 |
Modelling of B diffusion in the presence of Ga Radic L, Saavedra AF, Jones KS, Law ME |
482 - 488 |
Development of plasma-based implant for silicon devices Walther S, Liebert R |
489 - 493 |
Plasma implanted ultra shallow junction boron depth profiles: Effect of plasma chemistry and sheath conditions Walther S, Godet L, Buyuklimanli T, Weeman J |
494 - 498 |
P implantation doping of Ge: Diffusion, activation, and recrystallization Satta A, Janssens T, Clarysse T, Simoen E, Meuris M, Benedetti A, Hoflijk I, De Jaeger B, Demeurisse C, Vandervorst W |
499 - 502 |
Dopant loss of ultrashallow junction by wet chemical cleaning Buh GH, Park T, Yon GH, Kim SB, Jee YJ, Hong SJ, Ryoo C, Yoo JR, Lee JW, Jun CS, Shin YG, Chung UI, Moon JT |
503 - 506 |
Quantitative analysis of ultrashallow junction of sub-50 nm gate-length transistors: Junction depth, sheet resistance, short channel effects, and transistor performance Buh GH, Park T, Yon GH, Hong SJ, Ryoo CW, Yoo JR, Lee JW, Jee YJ, Lee JS, Jun CS, Shin YG, Chung UI, Moon JT |
507 - 509 |
Co-implantation with conventional spike anneal solutions for 45 nm n-type metal-oxide-semiconductor ultra-shallow junction formation Collart EJH, Felch SB, Pawlak BJ, Absil PP, Severi S, Janssens T, Vandervorst W |
510 - 514 |
Heavy ion implantation in Ge: Dramatic radiation induced morphology in Ge Janssens T, Huyghebaert C, Vanhaeren D, Winderickx G, Satta A, Meuris M, Vandervorst W |
515 - 520 |
Implementation of flash technology for ultra shallow junction formation: Challenges in process integration Foggiato J, Yoo WS |