1 - 5 |
Thick membrane operated rf microelectromechanical system switch with low actuation voltage Kim J, Kwon S, Hong Y, Jeong H, Song I, Ju B |
6 - 10 |
Deprotection blur in extreme ultraviolet photoresists: Influence of base loading and post-exposure bake temperature Anderson CN, Naulleau PP |
11 - 14 |
Thermal infrared detection using dipole antenna-coupled metal-oxide-metal diodes Bean JA, Tiwari B, Bernstein GH, Fay P, Porod W |
15 - 18 |
Development of a vacuum packaged nanodiamond lateral field emission device Subramanian K, Schroeder R, Kang WP, Davidson JL |
19 - 22 |
Duplication of nanoimprint templates by a novel SU-8/SiO2/PMMA trilayer technique Wan J, Shu Z, Deng SR, Xie SQ, Lu BR, Liu R, Chen YF, Qu XP |
23 - 27 |
A silicon-germanium W-structure photodiode for near-infrared detection Ali D, Thompson P, DiPasquale J, Richardson CJK |
28 - 32 |
Lift-off and hybrid applications with ma-n 1405 negative-tone resist Aassime A, Mathet V |
33 - 40 |
Dependence of etch rates of silicon substrates on the use of C4F8 and C4F6 plasmas in the deposition step of the Bosch process Rhee H, Lee HM, Namkoung YM, Kim CK, Chae H, Kim YW |
41 - 46 |
Field-emission properties of carbon nanotubes grown using Cu-Cr catalysts Zhang ZJ, Chua DHC, Gao Y, Zhang YP, Tang Z, Tay BK, Feng T, Sun Z, Chen YW |
47 - 51 |
Detailed characterization of hydrogen silsesquioxane for e-beam applications in a dynamic random access memory pilot line environment Keil K, Choi KH, Hohle C, Kretz J, Szikszai L, Bartha JW |
52 - 57 |
Some peculiarities of resist-profile simulation for positive-tone chemically amplified resists in electron-beam lithography Vutova K, Koleva E, Mladenov G, Kostic I |
58 - 60 |
Absorber stack with transparent conductive oxide layer for extreme ultraviolet lithography Kang HY, Hwangbo CK |
61 - 65 |
Fabrication of nanoscale bioarrays for the study of cytoskeletal protein binding interactions using nanoimprint lithography Schvartzman M, Nguyen K, Palma M, Abramson J, Sable J, Hone J, Sheetz MP, Wind SJ |
66 - 70 |
Latest results from the SEMATECH Berkeley extreme ultraviolet microfield exposure tool Naulleau PP, Anderson CN, Chiu J, Dean K, Denham P, George S, Goldberg KA, Hoef B, Jones G, Koh C, La Fontaine B, Ma A, Montgomery W, Niakoula D, Park JO, Wallow T, Wurm S |
71 - 75 |
Study of interface degradation of Hf-silicate gate dielectrics during thermal nitridation process Son SY, Jang JH, Kumar P, Singh RK, Yuh JH, Cho H, Kang CJ |
76 - 80 |
Self-assembly solder process to form three-dimensional structures on silicon Rao M, Lusth JC, Burkett SL |
81 - 84 |
Ti0.94Fe0.06O2/Ti0.94Mn0.06O2 superlattice films deposited on atomic-scale flattened sapphire substrates for dilute magnetic semiconductor applications Seong NJ, Jiang J, Yoon SG |
85 - 91 |
Forbidden pitch improvement using modified illumination in lithography Ling ML, Tay CJ, Quan C, Chua GS, Lin Q |
92 - 106 |
Plasma-surface interactions of advanced photoresists with C4F8/Ar discharges: Plasma parameter dependencies Engelmann S, Bruce RL, Sumiya M, Kwon T, Phaneuf R, Oehrlein GS, Andes C, Graves D, Nest D, Hudson EA |
107 - 112 |
Band bending and adsorption/desorption kinetics on N-polar GaN surfaces Choi S, Kim TH, Wu P, Brown A, Everitt HO, Losurdo M, Bruno G |
113 - 121 |
Plasma reactor dry cleaning strategy after TaC, MoN, WSi, W, and WN etching processes Ramosa R, Cunge G, Joubert O, Lill T |
122 - 125 |
Transformation of polycrystalline tungsten to monocrystalline tungsten W(100) and its potential application in Schottky emitters Dokania AK, Hendrikx R, Kruit P |
126 - 129 |
Interface dependent electrical properties of amorphous InGaZnO4 thin film transistors Lim W, Jang JH, Kim SH, Norton DP, Craciun V, Pearton SJ, Ren F, Chen H |
130 - 133 |
Five-element circuit model using linear-regression method to correct the admittance measurement of metal-oxide-semiconductor capacitor Cheng CC, Chien CH, Luo GL, Liu JC, Chen YC, Chang YF, Wang SY, Kei CC, Hsiao CN, Chang CY |
134 - 138 |
Metal nanowire fabrication by force microscopy lithography using amorphous arsenic sulfide resist layer Fonseca HD, Prioli R, Mauricio MHP |
139 - 147 |
Electron optics of skewed micro-Einzel lenses van Bruggen MJ, van Someren B, Kruit P |
148 - 151 |
Synthesis, structural and magnetic properties of epitaxial MgFe2O4 thin films by molecular beam epitaxy Cheng J, Lazarov VK, Sterbinsky GE, Wessels BW |
152 - 155 |
Near field emission scanning electron microscopy Kirk TL, Ramsperger U, Pescia D |
156 - 160 |
SiOx-planarized and transistor outlook-packaged oxide-confined vertical-cavity surface-emitting lasers with ring-shape geometry for high-speed (10 Gb/s) operation Tsai CL, Lin JQ, Lee FM, Chou YL, Wu MC |
161 - 166 |
REBL: A novel approach to high speed maskless electron beam direct write lithography Petric P, Bevis C, Carroll A, Percy H, Zywno M, Standiford K, Brodie A, Bareket N, Grella L |
167 - 168 |
Mitigation of microloading effect in nanoimprint mask fabrication Murali R |
169 - 179 |
Computational and experimental studies of phase separation in pentacene:C-60 mixtures Zheng Y, Pregler SK, Myers JD, Ouyang JM, Sinnott SB, Xue JG |
180 - 183 |
Stable tungsten disilicide contacts for surface and thin film resistivity measurements Jnawali G, Heringdorf FJMZ, Wall D, Sindermann S, Hoegen MHV |
184 - 187 |
DNA directed assembly of nanoparticle linear structure for nanophotonics Ding BQ, Cabrini S, Zuckermann RN, Bokor J |
188 - 192 |
Two-step resist-development process of hydrogen silsesquioxane for high-density electron-beam nanopatterning Lee HS, Wi JS, Nam SW, Kim HM, Kim KB |
193 - 197 |
Electrical properties of nanotip-assisted microplasma devices Kim SO, Ko YS, Gu HB |
198 - 198 |
Synthesis of nitrogen passivated rare-earth doped hafnia thin films and high temperature electrochemical conduction studies (vol 26, pg L33, 2008) Ramanathan S, Karthikeyan A, Govindarajan SA, Kirsh PD |
199 - 199 |
Thermal conductivity of B-C-N and BN nanotubes (vol 23, pg 1883, 2005) Chang CW, Han WQ, Zettl A |
208 - 208 |
Papers from the 15th Workshop on Dielectrics in Microelectronics PREFACE Mussing HJ, Schroeder T, Dabrowski J |
209 - 213 |
Alternative high-k dielectrics for semiconductor applications Van Elshocht S, Adelmann C, Clima S, Pourtois G, Conard T, Delabie A, Franquet A, Lehnen P, Meersschaut J, Menou N, Popovici M, Richard O, Schram T, Wang XP, Hardy A, Dewulf D, Van Bael MK, Lehnen P, Blomberg T, Pierreux D, Swerts J, Maes JW, Wouters DJ, De Gendt S, Kittl JA |
214 - 217 |
Enhancement mode GaAs metal-oxide-semiconductor field-effect-transistor integrated with thin AlN surface passivation layer and silicon/phosphorus coimplanted source/drain Gao F, Lee SJ, Kwong DL |
218 - 222 |
InAIN/GaN metal-oxide-semiconductor high electron mobility transistor with Al2O3 insulating films grown by metal organic chemical vapor deposition using Ar and NH3 carrier gases Cico K, Kuzmik J, Liday J, Husekova K, Pozzovivo G, Carlin JF, Grandjean N, Pogany D, Vogrincic P, Frohlich K |
223 - 225 |
Ammonia-free deposition of silicon nitride films using pulsed-plasma chemical vapor deposition under near atmospheric pressure Matsumoto M, Inayoshi Y, Murashige S, Suemitsu M, Nakajima S, Uehara T, Toyoshima Y |
226 - 229 |
Behavior of zirconium oxide films processed from novel monocyclopentadienyl precursors by atomic layer deposition Kukli K, Niinisto J, Tamm A, Ritala M, Leskela M |
230 - 235 |
Effect of annealing and electrical properties of high-kappa thin films grown by atomic layer deposition using carboxylic acids as oxygen source Rauwel E, Ducroquet F, Rauwel P, Willinger MG, Matko I, Pinna N |
236 - 245 |
Study of metal oxide-semiconductor capacitors with rf magnetron sputtering TiOx and TiOxNy gate dielectric layer Albertin KF, Pereyra I |
246 - 248 |
Metal-oxide-semiconductor devices on p-type Ge with La2O3 and ZrO2/La2O3 as gate dielectric and the effect of postmetallization anneal Galata SF, Mavrou G, Tsipas P, Sotiropoulos A, Panayiotatos Y, Dimoulas A |
249 - 252 |
Gd silicate: A high-k dielectric compatible with high temperature annealing Gottlob HDB, Stefani A, Schmidt M, Lemme MC, Kurz H, Mitrovic IZ, Werner M, Davey WM, Hall S, Chalker PR, Cherkaoui K, Hurley PK, Piscator J, Engstrom O, Newcomb SB |
253 - 257 |
Optimization of the AION buffer layer for PrXOY/Si stacks Henkel K, Burkov Y, Karavaev K, Torche M, Schwiertz C, Schmeisser D |
258 - 261 |
Complementary metal oxide semiconductor integration of epitaxial Gd2O3 Lemme MC, Gottlob HDB, Echtermeyer TJ, Schmidt M, Kurz H, Endres R, Schwalke U, Czernohorkky M, Tetzlaff D, Osten HJ |
262 - 265 |
Structure dependence of epitaxial Pr2O3/Si(001) on oxygen pressure during growth Watahiki T, Braun W, Riechert H |
266 - 270 |
Epitaxial growth of high-kappa TiO2 rutile films on RuO2 electrodes Frohlich K, Aarik J, Tapajna M, Rosova A, Aidla A, Dobrocka E, Huskova K |
271 - 276 |
On the band gaps and electronic structure of thin single crystalline praseodymium oxide layers on Si(111) Seifarth O, Dabrowski J, Zaumseil P, Muller S, Schmeisser D, Mussig HJ, Schroeder T |
277 - 285 |
Band offsets and work function control in field effect transistors Robertson J |
286 - 289 |
Influence of the electrode material on HfO2 metal-insulator-metal capacitors Wenger C, Lukosius M, Mussig HJ, Ruhl G, Pasko S, Lohe C |
290 - 293 |
Effective work function engineering by lanthanide ion implantation of metal-oxide semiconductor gate stacks Fet A, Haublein V, Bauer AJ, Ryssel H |
294 - 299 |
Predeposition plasma nitridation process applied to Ge substrates to passivate interfaces between crystalline-Ge substrates and Hf-based high-K dielectrics Lucovsky G, Long JP, Chung KB, Seo H, Watts B, Vasic R, Ulrich MD |
300 - 304 |
HfO2/Si interface formation in atomic layer deposition films: An in situ investigation Tallarida M, Karavaev K, Schmeisser D |
305 - 309 |
Structure and defects of epitaxial Si(111) layers on Y2O3(111)/Si(111) support systems Borschel C, Ronning C, Hofsass H, Giussani A, Zaumseil P, Wenger C, Storck P, Schroeder T |
310 - 312 |
Identification of interfacial defects in high-k gate stack films by spectroscopic ellipsometry Price J, Bersuker G, Lysaght PS |
313 - 316 |
Influence of Ar/O-2 ratio on the electrical properties of metal-ferroelectric (BiFeO3)-insulator (HfO2)-semiconductor capacitors fabricated by rf magnetron sputtering Juan TPC, Lu JH, Lu MW |
317 - 320 |
Electron paramagnetic resonance characterization of defects in HfO2 and ZrO2 powders and films Barklie RC, Wright S |
321 - 324 |
Trap related dielectric absorption of HfSiO films in metal-insulator-semiconductor structures Kerber M, Fachmann C, Heitmann J, Kudelka S, Schroder U, Reisinger H |
325 - 328 |
Hafnium oxide thin films: Effect of growth parameters on oxygen and hafnium vacancies Hildebrandt E, Kurian J, Zimmermann J, Fleissner A, von Seggern H, Alff L |
329 - 332 |
Spatial distribution of electrically active defects in dual-layer (SiO2/HfO2) gate dielectric n-type metal oxide semiconductor field effect transistors Nguyen T, Savio A, Militaru L, Plossu C |
333 - 337 |
Frequency dispersion and dielectric relaxation of La2Hf2O7 Zhao CZ, Taylor S, Werner M, Chalker PR, Gaskell JM, Jones AC |
338 - 345 |
Analytical modeling of tunneling current through SiO2-HfO2 stacks in metal oxide semiconductor structures Coignus J, Clerc R, Leroux C, Reimbold G, Ghibaudo G, Boulanger F |
346 - 351 |
Analytical modeling of the tunneling probability through the double-layer gate stacks Majkusiak B |
352 - 355 |
Leakage current effects on C-V plots of high-k metal-oxide-semiconductor capacitors Lu Y, Hall S, Tan LZ, Mitrovic IZ, Davey WM, Raeissi B, Engstrom O, Cherkaoui K, Monaghan S, Hurley PK, Gottlob HDB, Lemme MC |
356 - 359 |
Comparison of standard macroscopic and conductive atomic force microscopy leakage measurements on gate removed high-k capacitors Polspoel W, Vandervorst W, Aguilera L, Porti M, Nafria M, Aymerich X |
360 - 363 |
Development of a conductive atomic force microscope with a logarithmic current-to-voltage converter for the study of metal oxide semiconductor gate dielectrics reliability Aguilera L, Lanza M, Bayerl A, Porti M, Nafria M, Aymerich X |
364 - 368 |
Correlation of microscopic and macroscopic electrical characteristics of high-k ZrSixO2-x thin films using tunneling atomic force microscopy Weinreich W, Wilde L, Kucher P, Lemberger M, Yanev V, Rommel M, Bauer AJ, Erben E, Heitmann J, Schroder U, Oberbeck L |
369 - 372 |
Electrical characteristics of metal-ferroelectric (BiFeO3)-insulator (Y2O3)-semiconductor capacitors and field-effect transistors Lin CM, Shih WC, Lee JYM |
373 - 377 |
Electrical properties of amorphous barium titanate films sputter deposited under hydrogen containing atmosphere El Kamel F, Gonon P, Sylvestre A, Vallee C |
378 - 383 |
Physicochemical and electrical characterizations of atomic layer deposition grown HfO2 on TiN and Pt for metal-insulator-metal application Jorel C, Vallee C, Gourvest E, Pelissier B, Kahn M, Bonvalot M, Gonon P |
384 - 388 |
Impact of a gamma-Al2O3(001) barrier on LaAlO3 metal-oxide-semiconductor capacitor electrical properties Becerra L, Merckling C, El-Kazzi M, Baboux N, Vilquin B, Saint-Girons G, Plossu C, Hollinger G |
389 - 393 |
Electrical properties of thin zirconium and hafnium oxide high-k gate dielectrics grown by atomic layer deposition from cyclopentadienyl and ozone precursors Duenas S, Castan H, Garcia H, Gomez A, Bailon L, Kukli K, Niinisto J, Ritala M, Leskela M |
394 - 401 |
Different mechanism to explain the 1/f noise in n- and p-SOI-MOS transistors fabricated on (110) and (100) silicon-oriented wafers Gaubert P, Teramoto A, Cheng WT, Hamada T, Ohmi T |
402 - 405 |
Low frequency noise analysis in HfO2/SiO2 gate oxide fully depleted silicon on insulator transistors Zafari L, Jomaah J, Ghibaudo G, Faynot O |
406 - 410 |
Radiation damage on dielectrics: Single event effects Paccagnella A, Gerardin S, Cellere G |
411 - 415 |
High-k materials and their response to gamma ray radiation Zhao CZ, Taylor S, Werner M, Chalker PR, Potter RJ, Gaskell JM, Jones AC |
416 - 420 |
Irradiation effect on dielectric properties of hafnium and gadolinium oxide gate dielectrics Garcia H, Duenas S, Castan H, Gomez A, Bailon L, Barquero R, Kukli K, Ritala M, Leskela M |
421 - 425 |
Implanted and irradiated SiO2/Si structure electrical properties at the nanoscale Porti M, Nafria N, Gerardin S, Aymerich X, Cester A, Paccagnella A, Ghidini G |
426 - 434 |
Review on the reliability characterization of plasma-induced damage Martin A |
435 - 438 |
Stress-induced leakage current and random telegraph signal Teramoto A, Kumagai Y, Abe K, Fujisawa T, Watabe S, Suwa T, Miyamoto N, Sugawa S, Ohmi T |
439 - 442 |
Investigation of voltage dependent relaxation, charge trapping, and stress induced leakage current effects in HfO2/Dy2O3 gate stacks grown on Ge (100) substrates Rahman MS, Evangelou EK, Androulidakis II, Dimoulas A, Mavrou G, Tsipas P |
443 - 447 |
Breakdown and degradation of ultrathin Hf-based (HfO2)(x)(SiO2)(1-x) gate oxide films Uppal HJ, Mitrovic IZ, Hall S, Hamilton B, Markevich V, Peaker AR |
448 - 452 |
Impact of progressive oxide soft breakdown on metal oxide semiconductor parameters: Experiment and modeling Gerrer L, Ribes G, Ghibaudo G, Jomaah J |
453 - 458 |
Progressive degradation of TiN/SiON and TiN/HfO2 gate stack triple gate SOI nFinFETs subjected to electrical stress Rafi JM, Simoen E, Mercha A, Collaert N, Campabadal F, Claeys C |
459 - 462 |
Investigation of Bias-Temperature Instability in work-function-tuned high-k/metal-gate stacks Kaczer B, Veloso A, Roussel PJ, Grasser T, Groeseneken G |
463 - 467 |
Impact of nitridation on recoverable and permanent negative bias temperature instability degradation in high-k/metal-gate p-type metal oxide semiconductor field effect transistors Aoulaiche M, Kaczer B, Roussel PJ, O'Connor R, Houssa M, De Gendt S, Maes HE, Groeseneken G |
468 - 471 |
Hot carrier degradation in HfSiON/TiN fin shaped field effect transistor with different substrate orientations Young CD, Yang JW, Matthews K, Suthram S, Hussain MM, Bersuker G, Smith C, Harris R, Choi R, Lee BH, Tseng HH |
472 - 475 |
SiO2 interfacial layer as the origin of the breakdown of high-k dielectrics stacks Rafik M, Ribes G, Roy D, Ghibaudd G |
476 - 481 |
Al2O3-SiO2 stack with enhanced reliability Lisiansky M, Fenigstein A, Heiman A, Raskin Y, Roizin Y, Bartholomew L, Owyang J, Gladkikh A, Brener R, Geppert I, Lyakin E, Meyler B, Shnieder Y, Yofis S, Eizenberg M |
482 - 485 |
Suppression of parasitic electron injection in silicon-oxide-nitride-oxide-silicon-type memory cells using high-k capping layers Erlbacher T, Graf T, DasGupta N, Bauer AJ, Ryssel H |
486 - 489 |
Contact etch stop a-SixNy:H layer: A key factor for single polysilicon flash memory data retention Beylier G, Benoit D, Mora P, Bruyere S, Ghibaudo G |
490 - 493 |
On the impact of silicon nitride technology on charge trap NAND memories Sebastiani A, Scozzari C, Mauri A, Modelli A, Albini G, Piagge R, Bacciaglia P, Del Vitto A, Alessandri M, Grossi A, Tessariol P, Ghidini G |
494 - 497 |
Application of plasma enhanced chemical vapor deposition silicon oxynitride layers in nonvolatile semiconductor memory devices Mroczynski R, Beck RB |
498 - 503 |
Ferroelectric nanostructures Vrejoiu I, Alexe M, Hesse D, Gosele U |
504 - 507 |
Electrical investigations on metal/ferroelectric/insulator/semiconductor structures using poly[vinylidene fluoride trifluoroethylene] as ferroelectric layer for organic nonvolatile memory applications Henkel K, Lazareva I, Mandal D, Paloumpa I, Muller K, Koval Y, Muller P, Schmeisser D |
508 - 511 |
Interface states formation in a localized charge trapping nonvolatile memory device Shapira A, Shur Y, Shacham-Diaman Y, Shappir A, Eitan B |
512 - 516 |
Peculiar characteristics of nanocrystal memory cells programming window Gasperin A, Amat E, Martin J, Porti M, Nafria M, Paccagnella A |
517 - 520 |
Low-k dielectrics for trench isolation in nanoscaled complementary metal oxide semiconductor imagers Irrera F, Puzzilli G, Ricci L, Russo F, Stirpe F |
521 - 526 |
Improved characterization of Fourier transform infrared spectra analysis for post-etched ultra-low-kappa SiOCH dielectric using chemometric methods Oszinda T, Beyer V, Schaller M, Fischer D, Bartsch C, Schulz SE |
527 - 530 |
Study on the characteristics of toluene-tetraethoxysilane hybrid plasma-polymer thin films Cho SJ, Bae IS, Lee S, Jung D, Choi WS, Boo JH |
531 - 534 |
Photoluminescence from high-pressure-annealed silicon dioxide Wong CK, Misiuk A, Wong H, Panas A |
535 - 537 |
Light emission and photoluminescence from high-k dielectrics containing Ge nanocrystals Chang ST, Liao SH |
538 - 541 |
Gas sensing materials based on TiO2 thin films Iftimie N, Luca D, Lacomi F, Girtan M, Mardare D |
542 - 546 |
Mechanical properties of anodic aluminum oxide for microelectromechanical system applications Moreno-Hagelsieb L, Flandre D, Raskin JP |
547 - 550 |
Initial oxidation of Si(110) at studied by real-time synchrotron-radiation x-ray photomission spectroscopy Suemitsu M, Yamamoto Y, Togashi H, Enta Y, Yoshigoe A, Teraoka Y |