화학공학소재연구정보센터

Journal of Vacuum Science & Technology B

Journal of Vacuum Science & Technology B, Vol.18, No.6 Entire volume, number list
ISSN: 1071-1023 (Print) 

In this Issue (194 articles)

2611 - 2614 Growth of low-defect density Ino(0.25)Gao(0.75)As on GaAs by molecular beam epitaxy
Pickrell GW, Chang KL, Epple JH, Cheng KY, Hsieh KC
2615 - 2619 Investigation of mesa-sidewall effects on direct current and radio frequency characteristics of Ga0.51In0.49P/In0.15Ga0.85As/Ga0.51In0.49P pseudomorphic high electron mobility transistors
Yen CH, Lin KP, Yu KH, Chang WL, Lin KW, Liu WC
2620 - 2623 Diode structures based on p-GaN for optoelectronic applications in the near-ultraviolet range of the spectrum
Starikov D, Berishev I, Um JW, Badi N, Medelci N, Tempez A, Bensaoula A
2624 - 2626 Study sf current leakage in InAs p-i-n photodetectors
Lin RM, Tang SF, Kuan CH
2627 - 2630 Low temperature deposition for high performance photodetector
He L
2631 - 2634 Effect of growth interruption and the introduction of H-2 on the growth of InGaN/GaN multiple quantum wells
Moon YT, Kim DJ, Song KM, Kim DW, Yi MS, Noh DY, Park SJ
2635 - 2639 Site control of InAs quantum dots on GaAs surfaces patterned by in situ electron-beam lithography
Ishikawa T, Kohmoto S, Nishikawa S, Nishimura T, Asakawa K
2640 - 2645 Fabrication technology of a Si nanowire memory transistor using an inorganic electron beam resist process
Tsutsumi T, Ishii K, Hiroshima H, Hazra S, Yamanaka M, Sakata I, Taguchi H, Suzuki E, Tomizawa K
2646 - 2649 Deposition of Fe clusters on Si surfaces
Upward MD, Cotier BN, Moriarty P, Beton PH, Baker SH, Binns C, Edmonds K
2650 - 2652 Initial growth analysis of Si overlayers on cerium oxide layers
Kim CG
2653 - 2657 Electrical properties of self-organized nanostructures of alkanethiol-encapsulated gold particles
Huang SJ, Tsutsui G, Sakaue H, Shingubara S, Takahagi T
2658 - 2663 Atomic force microscopy of reaction of ammonia gas with crystalline substituted benzoic acid
Zeng QD, Wang C, Bai CL, Li Y, Yan XJ
2664 - 2668 Effect of photoenhanced minority carriers in metal-oxide-semiconductor capacitor studied by scanning capacitance microscopy
Shin S, Kye JI, Pi UH, Khim ZG, Hong JW, Park SI, Yoon S
2669 - 2675 Integrated atomic force microscopy array probe with metal-oxide-semiconductor field effect transistor stress sensor, thermal bimorph actuator, and on-chip complementary metal-oxide-semiconductor electronics
Akiyama T, Staufer U, de Rooij NF, Lange D, Hagleitner C, Brand O, Baltes H, Tonin A, Hidber HR
2676 - 2680 X-ray source combined ultrahigh-vacuum scanning tunneling microscopy for elemental analysis
Hasegawa Y, Tsuji K, Nakayama K, Wagatsuma K, Sakurai T
2681 - 2683 New method for imaging atoms
Dou JY, Chen EG, Zhu CC, Yang DQ
2684 - 2687 Dual tunneling-unit scanning tunneling microscope for practical length measurement based on reference scales
Zhang HJ, Wu L, Huang F, Cheng SW
2688 - 2691 Effect of metal-insulator-semiconductor structure derived space charge field on the tip vibration signal in electrostatic force microscopy
Hong SB, Woo JW, Shin HJ, Kim E, Kim KH, Jeon JU, Pak YE, No K
2692 - 2697 Wide dynamic range silicon diaphragm vacuum sensor by electrostatic servo system
Miyashita H, Esashi M
2698 - 2703 Field emission properties of nanocomposite carbon nitride films
Alexandrou I, Baxendale M, Rupesinghe NL, Amaratunga GAJ, Kiely CJ
2704 - 2709 Study of the emission performance of carbon nanotubes
Lei W, Wang BP, Tong LS, Yin HC, Tu Y, Zhu CC
2710 - 2713 Influence of diamond film thickness on field emission characteristics
Ji H, Jin ZS, Gu CZ, Wang JY, Lu XY, Liu BB, Gao CX, Yuan G, Wang WB
2714 - 2721 Characterization of the surface morphology and electronic properties of microwave enhanced chemical vapor deposited diamond films
Fitzgerald AG, Fan Y, John P, Troupe CE, Wilson JIR
2722 - 2729 Enhancement in field emission of silicon microtips by bias-assisted carburization
Kichambare PD, Tarntair FG, Chen LC, Chen KH, Cheng HC
2730 - 2736 Polysubstituted derivatives of triphenylene as high resolution electron beam resists for nanolithography
Robinson APG, Palmer RE, Tada T, Kanayama T, Allen MT, Preece JA, Harris KDM
2737 - 2744 Comparative study of sputtered and spin-coatable aluminum oxide electron beam resists
Saifullah MSM, Kurihara K, Humphreys CJ
2745 - 2749 How to make polyvinylphenol inhibitable by diazonaphthoquinone sulfonates
Yan ZL, Yeh TF, He XH, Reiser A, Schadt FL, Fincher CR
2750 - 2756 High performance micropane electron beam window
Dougal RA, Liu SY
2757 - 2762 Design and development of plasma enhanced chemical vapor deposition universal antireflective layer films for deep subquarter micron deep ultraviolet applications
Wang Y, MacWilliams K, Karim Z, Fan W, Reilly M, Holden JM
2763 - 2768 Effects of fluorocarbon polymer deposition on the selective etching of SiO2/photoresist in high density plasma
Chu C, Ahn T, Kim J, Jeong S, Moon J
2769 - 2773 Direct pattern etching for micromachining applications without the use of a resist mask
Cho BO, Ryu JH, Hwang SW, Lee GR, Moon SH
2774 - 2779 Electron population above 13.5 eV in ultrahigh frequency and inductively coupled plasmas through C2F4/CF3I and C4F8/Ar gas mixtures
Nakano T, Samukawa S
2780 - 2784 Study of grass formation in GaAs backside via etching using inductively coupled plasma system
Nam PS, Ferreira LM, Lee TY, Tu KN
2785 - 2793 Two-channel spectroscopic reflectometry for in situ monitoring of blanket and patterned structures during reactive ion etching
Stutzman BS, Huang HT, Terry FL
2794 - 2798 Development and qualification of a vacuum pumping system for metalorganic vapor phase epitaxy copper precursors
Davis RP, Abreu RA
2799 - 2802 Oxygen plasma induced degradation in InGaAs/InP heterostructures
Driad R, McKinnon WR, McAlister SP
2803 - 2807 CH4/H-2 reactive ion etching induced damage of InP
Neitzert HC, Fang R, Kunst M, Layadi N
2808 - 2813 Characterization of showerhead performance at low pressure
Hash DB, Mihopoulos T, Govindan TR, Meyyappan M
2814 - 2819 Thermal stability and adhesion improvement of Ag deposited on Pa-n by oxygen plasma treatment
Gadre KS, Alford TL
2820 - 2825 Thermal modeling of a polysilicon-metal test structure used for thermally induced voltage alteration characterization
Tangyunyong P, Benson D, Cole EI
2826 - 2834 Influence of underlying interlevel dielectric films on extrusion formation in aluminum interconnects
Chen F, Li BZ, Sullivan TD, Gonzalez CL, Muzzy CD, Lee HK, Levy MD, Dashiell MW, Kolodzey J
2835 - 2841 Characterization of additive systems for damascene Cu electroplating by the superfilling profile monitor
Chiu SY, Shieh JM, Chang SC, Lin KC, Dai BT
2842 - 2847 Effect of various sputtering parameters on Ta phase formation using an I-Optimal experimental design
Whitman CS
2848 - 2850 Electrical characteristics of metal-ferroelectric (PbZrxTi1-x O-3)-insulator (Ta2O5)-silicon structure for nonvolatile memory applications
Sze CY, Lee JYM
2851 - 2856 Electronic structure and mechanical properties of hard coatings from the chromium-tungsten nitride system
Hones P, Diserens M, Sanjines R, Levy F
2857 - 2861 Electron-beam direct writing using RD2000N for fabrication of nanodevices
Dutta A, Lee SP, Hayafune Y, Oda S
2862 - 2864 Thin SiO2 layers on Si(111) with ultralow atomic step density
Oliver AC, Blakely JM
2876 - 2876 Microelectronics and nanometer structures - Processing, measurement, and phenomena - Preface
Melngailis J
2877 - 2880 Implementing advanced lithography technology: A 100 MHz, 1 V digital signal processor fabricated with phase shifted gates
Watson GP, Kizilyalli IC, Nalamasu O, Cirelli RA, Miller M, Wang Y, Pati B, Radosevich J, Kohler R, Freyman R, Klemens F, Mansfield W, Vaidya H, Timko A, Trimble L, Frackoviak J
2881 - 2885 Lithographic patterning and confocal imaging with zone plates
Gil D, Menon R, Carter DJD, Smith HI
2886 - 2890 Sub-100 nm silicon on insulator complimentary metal-oxide semiconductor transistors by deep ultraviolet optical lithography
Fritze M, Burns J, Wyatt PW, Chen CK, Gouker P, Chen CL, Keast C, Astolfi D, Yost D, Preble D, Curtis A, Davis P, Cann S, Deneault S, Liu HY
2891 - 2895 Defect formation and structural alternation in modified SiO2 glasses by irradiation with F-2 laser or ArF excimer laser
Ikuta Y, Kikugawa S, Hirano M, Hosono H
2896 - 2899 Shape engineering: A novel optical proximity correction technique for attenuated phase-shift mask
Pau S, Bolan K, Blakey M, Nalamasu O
2900 - 2904 Analytic study of gratings patterned by evanescent near field optical lithography
McNab SJ, Blaikie RJ, Alkaisi MM
2905 - 2910 Development of the large field extreme ultraviolet lithography camera
Watanabe T, Kinoshita H, Nii H, Li Y, Hamamoto K, Oshino T, Sugisaki K, Murakami K, Irie S, Shirayone S, Gomei Y, Okazaki S
2911 - 2915 Extreme ultraviolet alignment and testing of a four-mirror ring field extreme ultraviolet optical system
Goldberg KA, Naulleau P, Batson P, Denham P, Anderson EH, Chapman H, Bokor J
2916 - 2920 At-wavelength extreme ultraviolet lithography mask inspection using a Mirau interferometric microscope
Haga T, Takenaka H, Fukuda M
2921 - 2925 Reticle's contribution to critical dimension control and overlay in extreme-ultraviolet lithography
Meiling H, Benschop JPH, Loopstra E, van der Werf JE, Leenders MHA
2926 - 2929 Extreme ultraviolet mask defect simulation: Low-profile defects
Pistor T, Deng YF, Neureuther A
2930 - 2934 Characterization of extreme ultraviolet lithography mask defects from extreme ultraviolet far-field scattering patterns
Yi M, Jeong S, Rekawa S, Bokor J
2935 - 2938 Extreme ultraviolet holographic microscopy and its application to extreme ultraviolet mask-blank defect characterization
Lee SH, Bokor J, Naulleau P, Jeong ST, Goldberg KA
2939 - 2943 Extreme ultraviolet carrier-frequency shearing interferometry of a lithographic four-mirror optical system
Naulieau PP, Goldberg KA, Bokor J
2944 - 2949 Effects of smoothing on defect printability at extreme ultraviolet wavelengths
Cardinale GF, Ray-Chaudhuri AK, Fisher A, Mangat PSJ, Wasson J, Mirkarimi PB, Gullikson E
2950 - 2954 Proposal for a 50 nm proximity x-ray lithography system and extension to 35 nm by resist material selection
Kitayama T, Itoga K, Watanabe Y, Uzawa S
2955 - 2960 Technology and performance of the Canon XRA-1000 production x-ray stepper
Mizusawa N, Uda K, Tanaka Y, Ohta H, Watanabe Y
2961 - 2965 Overlay evaluation of proximity x-ray lithography in 100 nm device fabrication
Aoyama H, Taguchi T, Matsui Y, Fukuda M, Deguchi K, Morita H, Oda M, Matsuda T, Kumasaka F, Iba Y, Horiuchi K
2966 - 2969 Membrane-mask distortion correction: Analytical and experimental results
Murooka K, Lim MH, Smith HI
2970 - 2975 Nanofabrication and diffractive optics for high-resolution x-ray applications
Anderson EH, Olynick DL, Harteneck B, Veklerov E, Denbeaux G, Chao WL, Lucero A, Johnson L, Attwood D
2976 - 2980 Generation of arbitrary three dimensional surfaces by x-ray lithography
Feldman M, Lee GS, Noel D, Malek CK, Bass R
2981 - 2985 Zone-plate-array lithography using synchrotron radiation
Pepin A, Decanini D, Chen Y
2986 - 2989 Performance of a compact beamline with high brightness for x-ray lithography
Hirose S, Miyatake T, Li X, Toyota E, Hirose M, Fujii K, Suzuki K
2990 - 2994 Highly accurate x-ray masks with 100-nm-class high-density device patterns
Uchiyama S, Shimada M, Tsuchizawa T, Ohkubo T, Oda M, Yoshihara H
2995 - 2998 Overlay compatibility between two synchroton radiation steppers
Fukuda M, Suzuki M, Haga T, Morita H, Aoyama H, Mitsui S, Taguchi T, Matsui Y
2999 - 3003 Scaled measurements of global space-charge induced image blur in electron beam projection system
Han LQ, Pease RF, Meisburger WD, Winograd GI, Takahashi K
3004 - 3009 Structure of stochastic Coulomb interactions in electron beam columns
Wu B, Neureuther AR
3010 - 3016 Multisource optimization of a column for electron lithography
Mankos M, Coyle S, Fernandez A, Sagle A, Allen P, Owens W, Sullivan J, Chang THP
3017 - 3022 Electron optical image correction subsystem in electron beam projection lithography
Kojima S, Stickel W, Rockrohr JD, Gordon M
3023 - 3028 Analytic evaluation of the intensity point spread function
Gallatin GM
3029 - 3033 Application of the generalized curvilinear variable axis lens to electron projection
Stickel W, Langner GO
3034 - 3041 Computer aided design and analysis of imaging energy filters with inhomogeneous bending magnets
Munro E, Rouse J
3042 - 3046 Prospect for high brightness III-nitride electron emitter
Machuca F, Sun Y, Liu Z, Ioakeimidi K, Pianetta P, Pease RFW
3047 - 3051 Depth of field at high magnifications of scanning electron microscopes
Sato M, Mizuno F
3052 - 3056 Demonstration of multiblanker electron-beam technology
Winograd G, Krishnamurthi V, Garcia R, Veneklasen LH, Mankos M, Pease F
3057 - 3060 Optimization of microcolumn electron optics for high-current applications
Mankos M, Lee KY, Muray L, Spallas J, Hsu Y, Stebler C, DeVore W, Bullock E, Chang THP
3061 - 3066 New concept for high-throughput multielectron beam direct write system
Muraki M, Gotoh S
3067 - 3071 Correction of the field curvature in SCALPEL projection systems
Xiu K, Gibson JM
3072 - 3078 PREVAIL Alpha system: Status and design considerations
Golladay SD, Pfeiffer HC, Rockrohr JD, Stickel W
3079 - 3083 PREVAIL: Dynamic correction of aberrations
Gordon MS, Enichen WA, Golladay SD, Pfeiffer HC, Robinson CF, Stickel W
3084 - 3088 Patterning performance of EB-X3 x-ray mask writer
Ohki S, Watanabe T, Takeda Y, Morosawa T, Saito K, Kunioka T, Kato J, Shimizu A, Matsuda T, Tsuboi S, Aoyama H, Watanabe H, Nakayama Y
3089 - 3094 Evaluation of a 100 kV thermal field emission electron-beam nanolithography system
Tennant DM, Fullowan R, Takemura H, Isobe M, Nakagawa Y
3095 - 3098 Calculation of surface potential and beam deflection due to charging effects in electron beam lithography
Lee Y, Lee W, Chun K
3099 - 3104 Advances in arrayed microcolumn lithography
Muray LP, Spallas JP, Stebler C, Lee K, Mankos M, Hsu Y, Gmur M, Chang THP
3105 - 3110 Quantitative factor analysis of resolution limit in electron beam lithography using the edge roughness evaluation method
Yoshizawa M, Moriya S
3111 - 3114 Grid lens approach for high effective emittance in SCALPEL (R)
Moonen D, van Kranen S, Kruit P, Katsap V, Waskiewicz WK
3115 - 3121 Analytical-based solutions for SCALPEL wafer heating
Fares N, Stanton S, Liddle J, Gallatin G
3122 - 3125 Charge induced pattern distortion in low energy electron beam lithography
Satyalakshmi KM, Olkhovets A, Metzler MG, Harnett CK, Tanenbaum DM, Craighead HG
3126 - 3131 Electron optical column for a multicolumn, multibeam direct-write electron beam lithography system
Yin E, Brodie AD, Tsai FC, Guo GX, Parker NW
3132 - 3137 Demagnifying immersion magnetic lenses used for projection electron beam lithography without crossovers
Cheng M, Tang TT
3138 - 3142 Implementation of real-time proximity effect correction in a raster shaped beam tool
Boegli V, Johnson L, Kao H, Veneklasen L, Hofmann U, Finkelstein I, Stovall S, Rishton S
3143 - 3149 Sub-0.1 mu electron-beam lithography for nanostructure development
Peckerar M, Bass R, Rhee KW
3150 - 3157 Proximity effect correction using pattern shape modification and area density map
Takahashi K, Osawa M, Sato M, Arimoto H, Ogino K, Hoshino H, Machida Y
3158 - 3161 Carrier distribution profiles in Si-doped layers in GaAs formed by focused ion beam implantation and successive overlayer growth
Hada T, Goto T, Yanagisawa J, Wakaya F, Yuba Y, Gamo K
3162 - 3167 Focused ion beam patterning of III-V crystals at low temperature: A method for improving the ion-induced defect localization
Schneider M, Gierak J, Marzin JY, Gayral B, Gerard JM
3168 - 3171 Focused electron beam induced deposition of gold
Utke I, Hoffmann P, Dwir B, Leifer K, Kapon E, Doppelt P
3172 - 3176 Pattern generators and microcolumns far ion beam lithography
Scott KL, King TJ, Lieberman MA, Leung KN
3177 - 3180 Determination of resist exposure parameters in helium ion beam lithography: Absorbed energy gradient, contrast, and critical dose
Ruchhoeft P, Wolfe JC
3181 - 3184 Three-dimensional nanostructure fabrication by focused-ion-beam chemical vapor deposition
Matsui S, Kaito T, Fujita J, Komuro M, Kanda K, Haruyama Y
3185 - 3189 Optimal strategy for controlling linewidth on spherical focal surface arrays
Ruchhoeft P, Wolfe JC
3190 - 3193 Modeling of focused ion beam induced surface chemistry
Edinger K, Kraus T
3194 - 3197 Performance of multicusp plasma ion source for focused ion beam applications
Scipioni L, Stewart D, Ferranti D, Saxonis A
3198 - 3201 Maskless deposition of gold patterns on silicon
Erickson LE, Schmuki P, Champion G
3202 - 3206 Fabrication of open stencil masks with asymmetric void ratio for the ion projection lithography space charge experiment
Volland B, Shi F, Heerlein H, Rangelow IW, Hudek P, Kostic I, Cekan E, Vonach H, Loeschner H, Horner C, Stengl G, Buschbeck H, Zeininger M, Bleeker A, Benschop J
3207 - 3209 Experimental evaluation of an optimized radiation cooling geometry for ion projection lithography masks
Torres JL, Nounu HN, Wasson JR, Wolfe JC, Lutz J, Haugeneder E, Loschner H, Stengl G, Kaesmaier R
3210 - 3215 Fabrication of masks for electron-beam projection lithography
Lercel M, Magg C, Barrett M, Collins K, Trybendis M, Caldwell N, Jeffer R, Bouchard L
3216 - 3220 Progress in extreme ultraviolet mask repair using a focused ion beam
Liang T, Stivers A, Livengood R, Yan PY, Zhang GJ, Lo FC
3221 - 3226 Mechanical analysis of the PLASMAX particle removal process for optical and next-generation lithography masks
Semke WH, Weisbrod EJ, Engelstad RL, Lovell EG, Festa JJ, Bailey JB
3227 - 3231 Characterization of phase defects in phase shift masks
Adam K, Hotta S, Neureuther AR
3232 - 3236 TaSiN thin-film pattern transfer optimization for 200 mm SCALPEL and extreme ultraviolet lithography masks
Dauksher WJ, Resnick DJ, Clemens SB, Standfast DL, Masnyj ZS, Wasson JR, Bergmann NM, Han SI, Mangat PJS
3237 - 3241 High-performance membrane mask for electron projection lithography
Yamashita H, Amemiya S, Nomura E, Nakajima K, Nozue H
3242 - 3247 Inter and intramembrane resist critical dimension uniformity across a SCALPEL mask
Nordquist K, Ainley E, Resnick DJ, Weisbrod E, Martin C, Engelstad R, Masnyj Z, Mangat P
3248 - 3253 Simulating the mechanical response of electron-beam projection lithography masks
Jachim AF, Chen CF, Engelstad RL, Lovell EG, Mangat PJS, Dauksher WJ
3254 - 3258 Stencil reticle repair for electron beam projection lithography
Okada M, Shimizu S, Kawata S, Kaito T
3259 - 3263 Thickness analysis of silicon membranes for stencil masks
Sossna E, Kassing R, Rangelow IW, Herzinger CM, Tiwald TE, Woollam JA, Wagner T
3264 - 3267 Scanning probe metrology in the presence of surface charge
Griffith JE, Kneedler EM, Ningen S, Berghaus A, Bryson CE, Pau S, Houge E, Shofner T
3268 - 3271 Two-dimensional spatial-phase-locked electron-beam lithography via sparse sampling
Hastings JT, Zhang F, Finlayson MA, Goodberlet JG, Smith HI
3272 - 3276 Microcomb design and fabrication for high accuracy optical assembly
Chen CG, Heilmann RK, Konkola PT, Mongrard O, Monnelly GP, Schattenburg ML
3277 - 3281 Relativistic corrections in displacement measuring interferometry
Heilmann RK, Konkola PT, Chen CG, Schattenburg ML
3282 - 3286 Beam steering system and spatial filtering applied to interference lithography
Konkola PT, Chen CG, Heilmann RK, Schattenburg ML
3287 - 3291 Characterization of field stitching in electron-beam lithography using moire metrology
Murphy TE, Mondol MK, Smith HI
3292 - 3296 Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation
Patsis GP, Tserepi A, Raptis I, Glezos N, Gogolides E, Valamontes ES
3297 - 3302 Energy transfer between electrons and photoresist: Its relation to resolution
Han G, Cerrina F
3303 - 3307 Comparison of resist collapse properties for deep ultraviolet and 193 nm resist platforms
Cao HB, Nealey PF, Domke WD
3308 - 3312 Supercritical drying for water-rinsed resist systems
Namatsu H
3313 - 3317 Aqueous-based photoresist drying using supercritical carbon dioxide to prevent pattern collapse
Goldfarb DL, de Pablo JJ, Nealey PF, Simons JP, Moreau WM, Angelopoulos M
3318 - 3322 Enhancement of resist resolution and sensitivity via applied electric field
Cheng MS, Croffie E, Yuan L, Neureuther A
3323 - 3327 Optimized bilayer hexamethyldisiloxane film as bottom antireflective coating for both KrF and ArF lithographies
Lin CH, Wang LA, Chen HL
3328 - 3331 Vacuum ultraviolet spectra of fluorocompounds for 157 nm lithography
Toriumi M, Satou I, Itani T
3332 - 3339 Polymer photochemistry at advanced optical wavelengths
Fedynyshyn TH, Kunz RR, Sinta RF, Goodman RB, Doran SP
3340 - 3344 Modeling influence of structural changes in photoacid generators an 193 nm single layer resist imaging
Croffie E, Yuan L, Cheng MS, Neureuther A, Houlihan F, Cirelli R, Watson P, Nalamasu O, Gabor A
3345 - 3348 Lithographic performance of thin dendritic polymer resists
Williamson M, Neureuther A
3349 - 3353 Resist profile characteristics caused by photoelectron and Auger electron blur at the resist-tungsten substrate interface in 100 nm proximity x-ray lithography
Seo Y, Lee C, Seo Y, Kim O, Noh H, Kim H
3354 - 3359 Evaluation of alternative development process for high-aspect-ratio poly(methylmethacrylate) microstructures in deep x-ray lithography
Malek CK, Yajamanyam S
3360 - 3363 Lithography using ultrathin resist films
Pike C, Bell S, Lyons C, Plat M, Levinson H, Okoroanyanwu U
3364 - 3370 Outgassing of photoresist materials at extreme ultraviolet wavelengths
Dentinger PM
3371 - 3375 Correlation between the chemical compositions and optical properties of AlSixNy embedded layer for attenuated phase-shifting mask in 193 nm and the modification of the R-T method for measuring n and k
Lin CM, Loong WA
3376 - 3380 Scaling of T-g and reaction rate with film thickness in photoresist: A thermal probe study
Fryer DS, Nealey PF, de Pablo JJ
3381 - 3387 Thin film instabilities and implications for ultrathin resist processes
Okoroanyanwu U
3388 - 3395 Resolution limit of negative tone chemically amplified resist used for hybrid lithography: Influence of the molecular weight
Pain L, Higgins C, Scarfogliere B, Tedesco S, Dal'Zotto B, Gourgon C, Ribeiro M, Kusumoto T, Suetsugu M, Hanawa R
3396 - 3401 157 nm resist materials: Progress report
Brodsky C, Byers J, Conley W, Hung R, Yamada S, Patterson K, Somervell M, Trinque B, Tran HV, Cho S, Chiba T, Lin SH, Jamieson A, Johnson H, Vander Heyden T, Willson CG
3402 - 3407 Outgassing of photoresists in extreme ultraviolet lithography
Chauhan MM, Nealey PF
3408 - 3413 1 kV resist technology for microcolumn-based electron-beam lithography
Lee KY, Hsu Y, Le P, Tan ZCH, Chang THP, Elian K
3414 - 3418 Nanoscale patterning of self-assembled monolayers with electrons
Golzhauser A, Geyer W, Stadler V, Eck W, Grunze M, Edinger K, Weimann T, Hinze P
3419 - 3423 Hydrogen silsesquioxane/novolak bilayer resist for high aspect ratio nanoscale electron-beam lithography
van Delft FCMJM, Weterings JP, van Langen-Suurling AK, Romijn H
3424 - 3427 High-purity, ultrahigh-resolution calixarene electron-beam negative resist
Manako S, Ochiai Y, Yamamoto H, Teshima T, Fujita J, Nomura E
3428 - 3430 Calixarene G-line double resist process with 15 nm resolution aid large area exposure capability
Kedzierski J, Anderson E, Bokor J
3431 - 3434 Aqueous base development and acid diffusion length optimization in negative epoxy resist for electron beam lithography
Glezos N, Argitis P, Velessiotis D, Raptis I, Hatzakis M, Hudek P, Kostic I
3435 - 3440 Roughness study of a positive tone high performance SCALPEL resist
Ocola LE, Orphanos PA, Li WY, Waskiewicz W, Novembre AE, Sato M
3441 - 3444 Influence of developer and development conditions on the behavior of high molecular weight electron beam resists
Hasko DG, Yasin S, Mumtaz A
3445 - 3449 Evolutionary optimization of the electron-beam lithography process for gate fabrication of high electron mobility transistors
Robin F, Orzati A, Homan OJ, Bachtold W
3450 - 3452 Mechanical properties and pattern collapse of chemically amplified photoresists
Que L, Gianchandani YB
3453 - 3461 Kinetics and crystal orientation dependence in high aspect ratio silicon dry etching
Blauw MA, Zijlstra T, Bakker RA, van der Drift E
3462 - 3466 High speed anisotropic dry etching of CoNbZr for next generation magnetic recording
Andriesse MS, Zijlstra T, van der Drift E
3467 - 3470 Effects of reactive ion etching on the electrical characteristics of GaN
Rong B, Cheung R, Gao W, Alkaisi MM, Reeves RJ
3471 - 3475 High resolution inductively coupled plasma etching of 30 nm lines and spaces in tungsten and silicon
Goodyear AL, Mackenzie S, Olynick DL, Anderson EH
3476 - 3480 Micromask-induced surface defects inside Si trench isolation
Rho K
3481 - 3487 Quantum interference in a vacuum nanotriode
Driskill-Smith AAG, Hasko DG, Ahmed H
3488 - 3492 Comparative study of self-aligned and nonself-aligned SiGe p-metal-oxide-semiconductor modulation-doped field effect transistors with nanometer gate lengths
Lu W, Koester SJ, Wang XW, Chu JO, Ma TP, Adesida I
3493 - 3496 Fabrication technique for nanometer-scale InAs quantum devices: Observation of quantum interference in Aharonov-Bohm rings and Coulomb blockade in quantum dots
Chang TH, Chen KA, Yang CH, Yang MJ, Park D
3497 - 3500 Nanofabrication using structure controlled hydrogenated Si clusters deposited on Si surfaces
Kanayama T, Watanabe MO, Bolotov L, Uchida N
3501 - 3504 Nanofabrication techniques for lasers with two-dimensional photonic crystal mirrors
Moosburger J, Happ T, Kamp M, Forchel A
3505 - 3509 Fabrication of three-dimensional photonic structures with submicrometer resolution by x-ray lithography
Cuisin C, Chelnokov A, Lourtioz JM, Decanini D, Chen Y
3510 - 3513 Drilled alternating-layer structure for three-dimensional photonic crystals with a full band gap
Kuramochi E, Notomi M, Tamamura T, Kawashima T, Kawakami S, Takahashi J, Takahashi C
3514 - 3520 Nanoheteroepitaxy: Nanofabrication route to improved epitaxial growth
Zubia D, Zaidi SH, Hersee SD, Brueck SRJ
3521 - 3524 Fabrication of 30 nm T gates using SiNx as a supporting and definition layer
Chen Y, Edgar D, Li X, Macintyre D, Thoms S
3525 - 3529 Progress on nanostructuring with Nanojet
Voigt J, Shi F, Hudek P, Rangelow IW, Edinger K
3530 - 3534 Combining advanced lithographic techniques and self-assembly of thin films of diblock copolymers to produce templates for nanofabrication
Peters RD, Yang XM, Wang Q, de Pablo JJ, Nealey PF
3535 - 3538 Oxide nanodots and ultrathin layers fabricated on silicon using nonfocused multicharged ion beams
Borsoni G, Gros-Jean M, Korwin-Pawlowski ML, Laffitte R, Le Roux V, Vallier L
3539 - 3543 Optimization of a lithographic and ion beam etching process for nanostructuring magnetoresistive thin film stacks
Walsh ME, Hao YW, Ross CA, Smith HI
3544 - 3548 Field emission cathode array with self-aligned gate electrode fabricated by silicon micromachining
Barth W, Debski T, Shi F, Hudek P, Kostic I, Rangelow IW, Biehl S, Iwert T, Grabiec P, Studzinska K, Mitura S, Bekh II, Lushkin AE, Il'chenko LG, Il'chenko VV, Haindl G
3549 - 3551 Actuation and internal friction of torsional nanomechanical silicon resonators
Olkhovets A, Evoy S, Carr DW, Parpia JM, Craighead HG
3552 - 3556 Novel alignment system for imprint lithography
White DL, Wood OR
3557 - 3560 Nanoimprint lithography at the 6 in. wafer scale
Heidari B, Maximov I, Montelius L
3561 - 3563 Fabrication of quantum point contacts by imprint lithography and transport studies
Martini I, Kuhn S, Kamp M, Worschech L, Forchel A, Eisert D, Koeth J, Sijbesma R
3564 - 3568 Quantitative analysis of the molding of nanostructures
Schift H, David C, Gobrecht J, D'Amore A, Simoneta D, Kaiser W, Gabriel M
3569 - 3571 Electron-beam fabrication of nonplanar templates for contact printing
Rhee KW, Shirey LM, Isaacson PI, Komegay CF, Dressick WJ, Chen MS, Brandow SL
3572 - 3577 Step and flash imprint lithography: Template surface treatment and defect analysis
Bailey T, Choi BJ, Colburn M, Meissl M, Shaya S, Ekerdt JG, Sreenivasan SV, Willson CG
3578 - 3581 Comparison of infrared frequency selective surfaces fabricated by direct-write electron-beam and bilayer nanoimprint lithographies
Puscasu I, Boreman G, Tiberio RC, Spencer D, Krchnavek RR
3582 - 3585 Master replication into thermosetting polymers for nanoimprinting
Schulz H, Lyebyedyev D, Scheer HC, Pfeiffer K, Bleidiessel G, Grutzner G, Ahopelto J
3586 - 3589 Directed assembly of carbon nanotube electronic circuits by selective area chemical vapor deposition on prepatterned catalyst electrode structures
Wei YY, Fan X, Eres G
3590 - 3593 Real time pattern changing in atomic beam holography using phase shift by Stark effect
Fujita J, Mitake S, Shimizu F
3594 - 3599 Lithographically defined nano and micro sensors using "float coating" of resist and electron beam lithography
Zhou H, Chong BK, Stopford P, Mills G, Midha A, Donaldson L, Weaver JMR
3600 - 3603 Microcalorimetry applications of a surface micromachined bolometer-type thermal probe
Li MH, Gianchandani YB
3604 - 3607 Novel multibridge-structured piezoelectric microdevice for scanning force microscopy
Chu J, Wang Z, Maeda R, Kataoka K, Itoh T, Suga T
3608 - 3611 Fabrication of diffractive optical elements for an integrated compact optical microelectromechanical system laser scanner
Wendt JR, Krygowski TW, Vawter GA, Blum O, Sweatt WC, Warren ME, Reyes D