2007 - 2012 |
Investigation of molecular beam epitaxial NdF3/Si(111) heterostructures by atomic force microscopy and x-ray diffractometry Ko JM, Durbin SD, Fukuda T, Inaba K |
2013 - 2019 |
Polymer pattern formation on SiO2 surfaces using surface monolayer initiated polymerization Chen XH, Tolbert LM, Henderson CL, Hess DW, Ruhe J |
2020 - 2025 |
Deep plasma etching of piezoelectric PZT with SF6 Bale M, Palmer RE |
2026 - 2037 |
Materials aspects, electrical performance, and scalability of Ni silicide towards sub-0.13 mu m technologies Lauwers A, Steegen A, de Potter M, Lindsay R, Satta A, Bender H, Maex K |
2038 - 2044 |
Reduced brightness of the ZrO/W Schottky electron emitter van Veen AHV, Hagen CW, Barth JE, Kruit P |
2045 - 2049 |
Experimental conditions for a highly ordered monolayer of gold nanoparticles fabricated by the Langmuir-Blodgett method Huang SJ, Tsutsui G, Sakaue H, Shingubara S, Takahagi T |
2050 - 2056 |
Modification of polycarbonate and polypropylene surfaces by argon ion cluster beams Biederman H, Slavinska D, Boldyreva H, Lehmberg H, Takaoka G, Matsuo J, Kinpara H, Zemek J |
2057 - 2062 |
Valence band alignment and work function of heteroepitaxial nanocrystals on GaAs(001) Heun S, Watanabe Y, Ressel B, Schmidt T, Prince KC |
2063 - 2066 |
Chemical composition, morphology, and deep level electronic states of GaN (0001) (1X1) surfaces prepared by indium decapping Young AP, Brillson LJ, Naoi Y, Tu CW |
2067 - 2072 |
Improvement of the SiO2/Si interface characteristics by two-step deposition with intermediate plasma treatment using O-2/He gas Yi C, Kim HU, Rhee SW, Oh SH, Park CG |
2073 - 2076 |
In situ submicron patterning with silicon nitride evaporation masks Purbach U, de Lozanne A |
2077 - 2081 |
Parameter extraction for 193 nm chemically amplified resist from refractive index change Sohn YS, Oh HK, An I |
2082 - 2088 |
Characterization of reactive ion etch lag scaling Keil D, Anderson E |
2089 - 2094 |
Initial surface reactions between Cl-2 molecules and the GaAs (001) 2X4 surface Tanaka Y, Ozeki M |
2095 - 2103 |
Study of the surface reactivity of optical fibers under aging conditions by flexural resonance Mertens J, Finot E, Bourillot E, Fabre A, Goudonnet JP |
2104 - 2107 |
Global pattern density effects on aluminum alloy etching for sub-0.25 mu m technology logic devices Baek KH, Kim KH, Hwang CH, Lee DH |
2108 - 2113 |
Investigation of fluorine in dry ultrathin silicon oxides Vereecke G, Rohr E, Carter RJ, Conard T, De Witte H, Heyns MM |
2114 - 2118 |
Investigation of the bonding strength and interface current of p-Si/n-GaAs wafers bonded by surface activated bonding at room temperature Howlader MMR, Watanabe T, Suga T |
2119 - 2122 |
Metamorphic In0.52Al0.48As/In0.53Ga0.47As high electron mobility transistors on GaAs with InxGa1-xP graded buffer Yuan K, Radhakrishnan K, Zheng HQ, Ng GI |
2123 - 2128 |
In situ measurement of aspect ratio dependent etch rates of polysilicon in an inductively coupled fluorine plasma Lill T, Grimbergen M, Mui D |
2129 - 2132 |
Ion-assisted etching of W film by an Ar+ beam in XeF2 with the addition of H-2, N-2, or O-2 Ichinose H |
2133 - 2136 |
Etching technique for ruthenium with a high etch rate and high selectivity using ozone gas Nakahara M, Tsunekawa S, Watanabe K, Arai T, Yunogami T, Kuroki K |
2137 - 2143 |
Ultrathin zirconium oxide films as alternative gate dielectrics Chang JP, Lin YS, Berger S, Kepten A, Bloom R, Levy S |
2144 - 2148 |
Ion-implanted photoresist removal using water/carbon dioxide mixtures at elevated temperature and pressure Chavez KL, Bakker GL, Hess DW |
2149 - 2154 |
Interplay of current crowding and current self-quenching effects in planar cold cathodes Modukuru Y, Cahay M |
2155 - 2161 |
Processing and characterization of ultralow-dielectric constant organosilicate Yang S, Pai JCH, Pai CS, Dabbagh G, Nalamasu O, Reichmanis E, Seputro J, Obeng YS |
2162 - 2172 |
Patterning nonflat substrates with a low pressure, room temperature, imprint lithography process Colburn M, Grot A, Choi BJ, Amistoso M, Bailey T, Sreenivasan SV, Ekerdt JG, Willson CG |
2173 - 2176 |
Deep reactive ion etching of silicon carbide Tanaka S, Rajanna K, Abe T, Esashi M |
2177 - 2183 |
Optical and structural studies in InGaN quantum well structure laser diodes Chichibu SF, Azuhata T, Sugiyama M, Kitamura T, Ishida Y, Okumura H, Nakanishi H, Sota T, Mukai T |
2184 - 2189 |
Organic polymeric coatings deposited by plasma enhanced chemical vapor deposition Sabnis RW, Cazeca M, DiMenna WL, Spencer MJ, Guerrero DJ, Sheu MS |
2190 - 2194 |
Electromigration performance of AlCu/Ti and AlCu/Ti/TiN/Ti metallization Kamoshida K |
2195 - 2205 |
Focus latitude enhancement of symmetrical phase mask design for deep submicron contact hole patterning Chou SY, Lou JC, Chen LJ, Shiu LH, Liu RG, Wang CM, Gau TS |
2206 - 2211 |
Optical, electrical, and structural characteristics of yttrium oxide films deposited on plasma etched silicon substrates Araiza JJ, Aguilar-Frutis MA, Falcony C |
2212 - 2216 |
Photoemission study of energy-band alignments and gap-state density distributions for high-k dielectrics Miyazaki S, Miyazaki S |
2217 - 2222 |
Photoluminescence characterization of Si-based nanostructured films produced by pulsed laser ablation Kabashin AV, Meunier M, Leonelli R |
2223 - 2230 |
Etch mechanisms of low dielectric constant polymers in high density plasmas: Impact of charging effects on profile distortion during the etching process Fuard D, Joubert O, Vallier L, Assous M, Berruyer P, Blanc R |
2231 - 2236 |
Etching characteristics and plasma-induced damage of high-k Ba0.5Sr0.5TiO3 thin-film capacitors Wuu DS, Lin CC, Horng RH, Liao FC, Liu YH |
2237 - 2239 |
Structural and optical properties of ternary Cs-Pb-Cl nanoaggregates in thin films Somma F, Aloe P, Lo Mastro S, Santucci S, Giampaolo C, Nikl M, Nitsch K, Fabeni P, Pazzi GP |
2240 - 2246 |
Scaling considerations for high performance 25 nm metal-oxide-semiconductor field effect transistors Saha S |
2247 - 2251 |
Characteristic features of new electron-multiplying channels in a field emission display Yi W, Jeong T, Jin S, Yu SG, Lee J, Heo J, Yoo JB, Kim JM |
2252 - 2257 |
X-ray photoemission spectroscopy study of silicidation of Ti on BF2+-implanted polysilicon Chua HN, Pey KL, Lai WH, Chai JW, Pan JS, Chua DHC, Siah SY |
2258 - 2261 |
Scanning probe microscopy of domains and domain walls in sol-gel PbTiO3 thin films Chen XF, Zhu WG, Liu WG, Wang ZH |
2262 - 2267 |
Automated Xe adsorption technique to measure small Brunauer-Emmett-Teller surface area of several square centimeters Yanazawa H, Furukawa R, Yamamoto S, Suzuki I, Miura K |
2268 - 2279 |
Carrier mobilities and process stability of strained Si n- and p-MOSFETs on SiGe virtual substrates Currie MT, Leitz CW, Langdo TA, Taraschi G, Fitzgerald EA, Antoniadis DA |
2280 - 2283 |
Growth and characterization of Fe(100)/InAs(100) hybrid structures Ohno H, Yoh K, Doi T, Subagyo A, Sueoka K, Mukasa K |
2284 - 2288 |
Structure analysis of Ba2In2O5 and related compounds by electron microscopy Mitome M, Okamoto M, Bando Y, Yamamura H |
2289 - 2294 |
Characteristics of sputtered Ti1-xAlxN films for storage node electrode barriers Park DG, Cha TH, Lee SH, Yeo IS, Park JW, Kim SD |
2295 - 2298 |
Three-dimensional carrier concentration profiles and ionization energy plots for low-temperature GaAs Halder NC, Krishnan V, Baker B |
2299 - 2300 |
Nanostructure patterns written in polycarbonate by a bent optical fiber probe Chen SH, Chen YF |
2301 - 2303 |
Electron-beam CARL resist development for 70 nm direct write Kirch O, Elian K, Falk G |
2304 - 2306 |
Reduction in surface roughness during secondary ion mass spectrometry depth profiling with an ion-milling method Jiang ZX, Backer S, Chen S, Lerma J, Guenther T, Lee JJ, Sieloff D |
2319 - 2328 |
From nanometers to gigaparsecs: The role of nanostructures in unraveling the mysteries of the cosmos Schattenburg ML |
2329 - 2334 |
Low-k(1) optical lithography for 100 nm logic technology and beyond Yen A, Yu SS, Chen JH, Chen CK, Gau TS, Lin BJ |
2335 - 2341 |
Image metrology and system controls for scanning beam interference lithography Chen CG, Konkola PT, Heilmann RK, Pati GS, Schattenburg ML |
2342 - 2346 |
Digital heterodyne interference fringe control system Heilmann RK, Konkola PT, Chen CG, Pati GS, Schattenburg ML |
2347 - 2352 |
Method for reducing hyperbolic phase in interference lithography Walsh ME, Smith HI |
2353 - 2356 |
Immersion lithography at 157 nm Switkes M, Rothschild M |
2357 - 2361 |
Feasibility of utilizing hexamethyidisiloxane film as a bottom antireflective coating for 157 nm lithography Lin CH, Wang LA |
2362 - 2365 |
Demonstration of two-photon lithography Schwarz CJ, Nampoothiri AVV, Jasapara JC, Rudolph W, Brueck SRJ |
2366 - 2370 |
Gratings of regular arrays and trim exposures for ultralarge scale integrated circuit phase-shift lithography Fritze M, Tyrrell B, Astolfi D, Yost D, Davis P, Wheeler B, Mallen R, Jarmolowicz J, Cann S, Chan D, Rhyins P, Carney C, Ferri J, Blachowicz BA |
2371 - 2380 |
Optimization method of the double exposure technique with alt-PSMs for below a 0.13 mu m node Kikuchi K, Ohnuma H, Kawahira H |
2381 - 2384 |
Low-dielectric constant bisbenzo(cyclobutene) and fluorinated poly(arylene)ether films as bottom anti-reflective coating layers for ArF lithography Chen HL, Chu TC, Li MY, Ko FH, Cheng HC, Huang TY |
2385 - 2388 |
Spun-on carbon antireflective layer with etch resistance for deep and vacuum ultraviolet lithography processes Sato Y, Onishi Y, Nakano Y, Hayase S |
2389 - 2395 |
First lithographic results from the extreme ultraviolet Engineering Test Stand Chapman HN, Ray-Chaudhuri AK, Tichenor DA, Replogle WC, Stulen RH, Kubiak GD, Rockett PD, Klebanoff LE, O'Connell D, Leung AH, Jefferson KL, Wronosky JB, Taylor JS, Hale LC, Blaedel K, Spiller EA, Sommargren GE, Folta JA, Sweeney DW, Gullikson EM, Naulleau P, Goldberg KA, Bokor J, Attwood DT, Mickan U, Hanzen R, Panning E, Yan PY, Gwyn CW, Lee SH |
2396 - 2400 |
At-wavelength characterization of the extreme ultraviolet Engineering Test Stand Set-2 optic Naulleau P, Goldberg KA, Anderson EH, Batson P, Denham PE, Jackson KH, Gullikson EM, Rekawa S, Bokor J |
2401 - 2405 |
High sensitivity actinic detection of native defects on extreme ultraviolet lithography mask blanks Yi MS, Haga T, Walton C, Bokor J |
2406 - 2411 |
Observation of speckle patterns in extreme ultraviolet imaging Solak HH, Yang Y, Cerrina F |
2412 - 2415 |
Fabrication of parallel-plate nanomirror arrays for extreme ultraviolet maskless lithography Shroff Y, Chen YJ, Oldham W |
2416 - 2422 |
Recent progress in 1X x-ray mask technology: Feasibility study using ASET-NIST format TaXN x-ray masks with 100 nm rule 4 Gbit dynamic random access memory test patterns Tsuboi S, Tanaka Y, Iwamoto T, Sumitani H, Nakayama Y |
2423 - 2427 |
Can proximity x-ray lithography print 35 nm features? Yes Khan M, Han G, Tsvid G, Kitayama T, Maldonado J, Cerrina F |
2428 - 2433 |
Technique for 25 nm x-ray nanolithography Toyota E, Hori T, Khan M, Cerrina F |
2434 - 2438 |
Focusing x-ray masks for printing very narrow features Feldman M, Khan M, Cerrina F |
2439 - 2443 |
Effect of secondary electron from the substrate in x-ray lithography using harder radiation spectra Itoga K, Marumoto K, Kitayama T, Sumitani H, Amemiya M, Watanabe Y |
2444 - 2447 |
Compact synchrotron radiation lithography system for 70 nm device manufacturing Miyatake T, Li X, Hirose S, Monzen T, Fujii K, Suzuki K |
2448 - 2454 |
Evaluation of new x-ray stepper, the XRA Sumitani H, Suita M, Mitsui S, Aoyama H, Fujii K, Watanabe H, Taguchi T, Matsui Y |
2455 - 2458 |
High-throughput electron-beam lithography with a raster-scanned, variably shaped beam Veneklasen LH, Kao HM, Rishton SA, Winter S, Boegli V, Newman T, Bertuccelli G, Howard G, Le P, Tan Z, Lozes R |
2459 - 2467 |
PREVAIL-EPL alpha tool: Early results Golladay SD, Pfeiffer HC, Bohnenkamp CA, Dhaliwal RS, Enichen WA, Gordon MS, Kendall RA, Lieberman JE, Stickel W, Rockrohr JD, Tressler EV, Tanimoto A, Yamaguchi T, Okamoto K, Suzuki K, Miura T, Okino T, Kawata S, Morita K, Suzuki SC, Shimizu H, Kojima S, Varnell G, Novak WT, Sogard M |
2468 - 2473 |
Direct measurement of Coulomb effects in electron beam projection lithography Yahiro T, Suzuki S, Irita T, Hirayanagi N, Shimizu H, Kojima S, Morita K, Kawata S, Okino T, Suzuki K |
2474 - 2477 |
Experimental study of electron beam projection lithography mask defect printability Kojima Y, Katakura N, Tomo Y, Takenaka H, Yoshida A, Shimizu I, Yamabe M |
2478 - 2482 |
Mask split algorithm for stencil mask in electron projection lithography Yamashita H, Takeuchi K, Masaoka H |
2483 - 2487 |
Proximity effect correction using pattern shape modification and area density map for electron-beam projection lithography Osawa M, Takahashi K, Sato M, Arimoto H, Ogino K, Hoshino H, Machida Y |
2488 - 2493 |
Comparative study of resolution limiting factors in electron beam lithography using the edge roughness evaluation method Yoshizawa M, Moriya S |
2494 - 2498 |
Effect of resist sensitivity ratio on T-gate fabrication Chen Y, Macintyre DS, Thoms S |
2499 - 2503 |
Performance of the Raith 150 electron-beam lithography system Goodberlet JG, Hastings JT, Smith HI |
2504 - 2507 |
Influence of sub-100 nm scattering on high-energy electron beam lithography Anderson EH, Olynick DL, Chao WL, Harteneck B, Veklerov E |
2508 - 2511 |
Energy deposition and transfer in electron-beam lithography Wu B, Neureuther AR |
2512 - 2515 |
Mark detection in low-energy electron-beam lithography Fritz GS, Kern DP |
2516 - 2519 |
Simulation of time-dependent charging of resist on Si under electron-beam irradiation Kotera M |
2520 - 2524 |
Characterization of a process development tool for ion projection lithography Loeschner H, Stengl G, Kaesmaier R, Wolter A |
2525 - 2528 |
Direct patterning of nanometer-scale silicide structures by focused ion-beam implantation through a thin barrier layer Mitan MM, Pivin DP, Alford TL, Mayer JW |
2529 - 2532 |
Ion beam aperture-array lithography Ruchhoeft P, Wolfe JC |
2533 - 2538 |
Limitations of focused ion beam nanomachining Lehrer C, Frey L, Petersen S, Ryssel H |
2539 - 2542 |
Chemically enhanced focused ion beam micromachining of copper Gonzalez JC, Griffis DP, Miau TT, Russell PE |
2543 - 2546 |
Contact resistance of focused ion beam deposited platinum and tungsten films to silicon DeMarco AJ, Melngailis J |
2547 - 2550 |
GaN focused ion beam micromachining with gas-assisted etching Chyr I, Steckl AJ |
2551 - 2554 |
Mg-Ga liquid metal ion source for implantation doping of GaN Cheng J, Steckl AJ |
2555 - 2565 |
Outline of a variable-axis lens with arbitrary shift of the axis in one direction Schmid P, Rose H |
2566 - 2571 |
Electron-electron interactions in multibeam lithography columns Mankos M, Sagle A, Coyle ST, Fernandez A |
2572 - 2580 |
Stochastic Coulomb interaction effect in ion-neutralized electron-beam projection optics Takahashi K, Han LQ, Pease RF, Meisburger WD |
2581 - 2584 |
Progress toward a high-brightness photoemission source for multiple-electron beam lithography Coyle ST, Fernandez A, Janaway G, Sagle A, Mankos M |
2585 - 2590 |
Recent tests of negative electron affinity photocathodes as source for electron lithography and microscopy Arcuni P, Presley S, Aebi V, Spicer WE |
2591 - 2597 |
Stability improvement at high emission densities for gold thin film photocathodes used in advanced electron beam lithography Gosavi S, McCarthy JM, House JL, van Mast BGS, Janaway G, Berglund CN |
2598 - 2601 |
Microfabricated field emission devices using carbon nanofibers as cathode elements Guillorn MA, Melechko AV, Merkulov VI, Ellis ED, Simpson ML, Baylor LR, Bordonaro GJ |
2602 - 2606 |
Characterization of multicusp-plasma ion source brightness using micron-scale apertures Scott KL, King TJ, Leung KN, Pease RF |
2607 - 2611 |
Wave optical calculations of electron probes Rafferty B, Groves TR |
2612 - 2616 |
Review of progress in extreme ultraviolet lithography masks Hector S, Mangat P |
2617 - 2620 |
Optical-constant tunable (ZrO2)(x)/(Cr2O3)(y)/(Al2O3)(1-x-y) optical superlattices for attenuated phase shift mask in ArF lithography Lai FD, Wang LA |
2621 - 2625 |
Prediction of placement error of extreme ultraviolet lithography mask by simulation model with equivalent layout pattern Chiba A, Hoshino B, Takahashi M, Yamanashi H, Hoko H, Lee BT, Yoneda T, Ito M, Ogawa T, Okazaki S |
2626 - 2630 |
Predicting pattern-specific distortions induced during optical mask patterning Sohn J, Engelstad RL, Lovell EG |
2631 - 2634 |
Distortion correction in adaptive membrane masks Zhuang X, Feldman M |
2635 - 2640 |
Writing, repairing, and inspecting of extreme ultraviolet lithography reticles considering the impact of the materials Wasson JR, Lu B, Mangat PJS, Nordquist K, Resnick DJ |
2641 - 2645 |
Thermomechanical distortions of the PREVAIL mask system during exposure Lee PT, Martin C, Engelstad R, Lovell EG, Robinson C, Flamholz A |
2646 - 2651 |
Simulating the response of electron-beam projection lithography masks under standardized mounting techniques Chen CF, Engelstad RL, Lovell EG, Novembre AE |
2652 - 2658 |
Simulating the effects of pattern density gradients on electron-beam projection lithography pattern transfer distortions Reu PL, Engelstad RL, Lovell EG, Magg CK, Lercel MJ, Mackay RS |
2659 - 2664 |
Pattern placement correction methodology for 200 mm SCALPEL masks Ocola LE, Farrow RC, Kasica RJ, Caminos CG, Rutberg L, Fullowan RF, Teffeau K, Blakey MI, Peabody ML, Knurek CS, Bogart GR, Novembre AE, Liddle JA, Lercel M, Magg C, Collins K, Trybendis M, Cadwell N, Jeffer R, Dauksher WJ, Resnick DJ, Mancini D, Han SI, Masnyj Z, Smith K, Mangat PJS |
2665 - 2670 |
Mechanical, geometrical, and electrical characterization of silicon membranes for open stencil masks Sossna E, Degen A, Rangelow IW, Drzik M, Hudek P, Tiwald TE, Woollam JA |
2671 - 2677 |
Patterning-induced image placement distortions on electron beam projection lithography membrane masks Lercel M, Magg C, Lawliss M, Williams C, Caldwell N, Ackel R, Kindt L, Racette K, Reu P, Engelstad R, Mackay S |
2678 - 2684 |
Development of 157 nm positive resists Ito H, Wallraff GM, Fender N, Brock PJ, Hinsberg WD, Mahorowala A, Larson CE, Truong HD, Breyta G, Allen RD |
2685 - 2689 |
Characterization and modeling of volumetric and mechanical properties for step and flash imprint lithography photopolymers Colburn M, Suez I, Choi BJ, Meissl M, Bailey T, Sreenivasan SV, Ekerdt JG, Willson CG |
2690 - 2693 |
Thin film confinement effects on the thermal properties of model photoresist polymers Soles CL, Lin EK, Lenhart JL, Jones RL, Wu WL, Goldfarb DL, Angelopoulos M |
2694 - 2698 |
Characterization and simulation of surface and line-edge roughness in photoresists Constandoudis V, Gogolides E, Patsis GP, Tserepi A, Valamontes ES |
2699 - 2704 |
Confinement effects on the spatial extent of the reaction front in ultrathin chemically amplified photoresists Goldfarb DL, Angelopoulos M, Lin EK, Jones RL, Soles CL, Lenhart JL, Wu WL |
2705 - 2708 |
Characterization of fluoropolymers for 157 nm chemically amplified resist Itani T, Toriumi M, Naito T, Ishikawa S, Miyoshi S, Yamazaki T, Watanabe M |
2709 - 2712 |
Supercritical resist drying for isolated nanoline formation Namatsu H |
2713 - 2716 |
Linewidth reduction using liquid ashing for sub-100 nm critical dimensions with 248 nm lithography Timko AG, Frackoviak J, Hopkins LC, Klemens FP, Trimble LE, Nalamasu O, Watson GP, Mansfield WM, Barr D, Li J |
2717 - 2722 |
Hands-on tools for nanotechnology Seeger A, Paulson S, Falvo M, Helser A, Taylor RM, Superfine R, Washburn S |
2723 - 2726 |
"NANOJET": Tool for the nanofabrication Rangelow IW, Voigt J, Edinger K |
2727 - 2731 |
Investigation of radical-surface reactions Voigt J, Rangelow IW |
2732 - 2735 |
Electron induced chemical nanolithography with self-assembled monolayers Geyer W, Stadler V, Eck W, Golzhauser A, Grunze M, Sauer M, Weimann T, Hinze P |
2736 - 2740 |
Electrostatic self assembly of nanocomposite polymers in grating structures Cheng X, Guo LJ |
2741 - 2744 |
Lithographically induced self-assembly of microstructures with a liquid-filled gap between the mask and polymer surface Deshpande P, Chou SY |
2745 - 2748 |
Nanoscale modification of electronic states of graphite by highly charged Ar-ion irradiation Meguro T, Hida A, Suzuki M, Koguchi Y, Takai H, Yamamoto Y, Maeda K, Aoyagi Y |
2749 - 2752 |
High-Q photonic crystal microcavities fabricated in a thin GaAs membrane Reese C, Gayral B, Gerardot BD, Imamoglu A, Petroff PM, Hu E |
2753 - 2756 |
Patterning processes for fabricating sub-100 nm pseudo-spin valve structures Vogeli B, Smith HI, Castano FJ, Haratani S, Hao YW, Ross CA |
2757 - 2760 |
Infrared frequency selective surfaces fabricated using optical lithography and phase-shift masks Spector SJ, Astolfi DK, Doran SP, Lyszczarz TM, Raynolds JE |
2761 - 2765 |
Focused ion beam patterned Hall bars and Ohmic columns embedded in molecular-beam-epitaxial-grown GaAs/AlGaAs Vijendran S, See P, Ahmed A, Beere HE, Jones GAC, Norman CE |
2766 - 2769 |
New photon detector for device analysis: Superconducting single-photon detector based on a hot electron effect Somani S, Kasapi S, Wilsher K, Lo W, Sobolewski R, Gol'tsman G |
2770 - 2774 |
Fabrication of single and coupled quantum dots in single-wall carbon nanotubes Suzuki M, Ishibashi K, Ida T, Tsuya D, Toratani K, Aoyagi Y |
2775 - 2778 |
Nanofabrication of two-dimensional photonic crystal mirrors for 1.5 mu m short cavity lasers Happ TD, Markard A, Kamp M, Forchel A, Anand S, Gentner JL, Bouadma N |
2779 - 2783 |
Nanoimprint lithography of high-density cobalt dot patterns for fine tuning of dipole interactions Natali M, Lebib A, Cambril E, Chen Y, Prejbeanu IL, Ounadjela K |
2784 - 2788 |
Nanoscale patterning using self-assembled polymers for semiconductor applications Guarini KW, Black CT, Milkove KR, Sandstrom RL |
2789 - 2792 |
Field emission emitter array with a self-aligned volcano-type gate: Fabrication and characterization Ivanov T, Rangelow IW, Biehl S, Chakarov IR |
2793 - 2796 |
Induced crystallization as a nonlithographic pattern transfer technique for nanofabrication Cabral MJ, Lye WK, Bean JC, Reed ML, Chraska T, Mesarovic SD, Hull R, Phillips AB |
2797 - 2800 |
Fabrication of T gate structures by nanoimprint lithography Macintyre DS, Chen Y, Lim D, Thoms S |
2801 - 2805 |
Room temperature replication in spin on glass by nanoimprint technology Matsui S, Igaku Y, Ishigaki H, Fujita J, Ishida M, Ochiai Y, Komuro M, Hiroshima H |
2806 - 2810 |
Step and flash imprint lithography: Defect analysis Bailey T, Smith B, Choi BJ, Colburn M, Meissl M, Sreenivasan SV, Ekerdt JG, Willson CG |
2811 - 2815 |
Study of the resist deformation in nanoimprint lithography Hirai Y, Fujiwara M, Okuno T, Tanaka Y, Endo M, Irie S, Nakagawa K, Sasago M |
2816 - 2819 |
Fabrication of large area 100 nm pitch grating by spatial frequency doubling and nanoimprint lithography for subwavelength optical applications Yu ZN, Wu W, Chen L, Chou SY |
2820 - 2824 |
Photolithographic patterning of proteins with photoresists processable under biocompatible conditions Douvas A, Argitis P, Diakoumakos CD, Misiakos K, Dimotikali D, Kakabakos SE |
2825 - 2828 |
Single cell detection with micromechanical oscillators Ilic B, Czaplewski D, Zalalutdinov M, Craighead HG, Neuzil P, Campagnolo C, Batt C |
2829 - 2833 |
Dual exposure glass layer suspended structures: A simplified fabrication process for suspended nanostructures on planar substrates Tanenbaum DM, Olkhovets A, Sekaric L |
2834 - 2837 |
Observation and characteristics of mechanical vibration in three-dimensional nanostructures and pillars grown by focused ion beam chemical vapor deposition Fujita J, Ishida M, Sakamoto T, Ochiai Y, Kaito T, Matsui S |
2838 - 2841 |
Photoelectrochemical undercut etching for fabrication of GaN microelectromechanical systems Stonas AR, MacDonald NC, Turner KL, DenBaars SP, Hu EL |
2842 - 2845 |
Heat-depolymerizable polycarbonates as electron beam patternable sacrificial layers for nanofluidics Harnett CK, Coates GW, Craighead HG |
2846 - 2851 |
Fabrication of microfluidic devices in silicon and plastic using plasma etching Weston DF, Smekal T, Rhine DB, Blackwell J |
2852 - 2855 |
Electron beam inspection system based on the projection imaging electron microscope Miyoshi M, Yamazaki Y, Nagahama I, Onishi A, Okumura K |
2856 - 2860 |
Novel high resolution scanning thermal probe Edinger K, Gotszalk T, Rangelow IW |
2861 - 2863 |
Optical mask metrology for next generation lithography Vollrath W, Schluter G, Scheuring G |
2864 - 2868 |
Contactless testing of wiring networks by an electron beam system utilizing induced current detection Golladay SD |
2869 - 2873 |
Alignment system using voltage contrast images for low-energy electron-beam lithography Nakasugi T, Ando A, Sugihara K, Yamazaki Y, Miyoshi M, Okumura K |
2874 - 2877 |
Thermal conductivity measurements of thin-film resist Chu DC, Touzelbaev M, Goodson KE, Babin S, Pease RF |
2878 - 2883 |
Subresolution placement using infrared image alignment to the computer-aided design database for backside probing and editing Sengupta M, Sinha M, Dajee G, Tsao CC |
2884 - 2889 |
Critical dimension error analysis for 0.13 mu m photolithography and beyond Gau TS, Yen A, Chen JH, Yu SS, Chen CK, Ke CM, Lin BJ, Wang PTC |
2890 - 2895 |
Resist line edge roughness and aerial image contrast Shin J, Han G, Ma Y, Moloni K, Cerrina F |
2896 - 2900 |
Substrate cooling efficiency during cryogenic inductively coupled plasma polymer etching for diffractive optics on membranes Olynick DL, Anderson EH, Harteneck B, Veklerov E |
2901 - 2905 |
High speed, dry etching of Fe for integration of magnetic devices in microelectronics Andriesse MSP, van der Drift E, Sloof WG |
2906 - 2910 |
Cr absorber etch process for extreme ultraviolet lithography mask fabrication Smith KH, Wasson JR, Mangat PJS, Dauksher WJ, Resnick DJ |
2911 - 2916 |
High-resolution nitride etching using hydrogen implantation effect Ezaki M, Kato Y, Tojo T |
2917 - 2920 |
Inductively coupled plasma etching of GaN and its effect on electrical characteristics Rong B, van der Drift E, Reeves RJ, Sloof WG, Cheung R |
2921 - 2925 |
Modeling and development of a deep silicon etch process for 200 mm election projection lithography mask fabrication Dauksher WJ, Clemens SB, Resnick DJ, Smith KH, Mangat PJS, Rauf S, Ventzek PLG, Ashraf H, Lea L, Hall S, Johnston IR, Hopkins J, Chambers A, Bhardwaj JK |
2926 - 2929 |
Plasma-induced damage study for n-GaN using inductively coupled plasma reactive ion etching Khan FA, Zhou L, Kumar V, Adesida I |
2930 - 2934 |
Balancing the etching and passivation in time-multiplexed deep dry etching of silicon Blaw MA, Zijlstra T, van der Drift E |