2183 - 2186 |
Comparison of atomic force microscopy imaging methods and roughness determinations for a highly polished quartz surface Dokou E, Zhang LP, Barteau MA |
2187 - 2191 |
Initial oxidation phenomena of heavily phosphorus-doped silicon in dry oxygen Kamiura Y, Hasegawa K, Sano Y, Mizokawa Y, Kawamoto K |
2192 - 2198 |
Silicon oxide contact hole etching employing an environmentally benign process Fujita K, Hori M, Goto T, Ito M |
2199 - 2205 |
Simulations and experiments of etching of silicon in HBr plasmas for high aspect ratio features Hwang HH, Meyyappan M, Mathad GS, Ranade R |
2206 - 2209 |
Resist distribution effect of spin coating Kim SK, Yoo JY, Oh HK |
2210 - 2213 |
Reflection high-energy electron diffraction observation of the dynamics of semiconductor quantum dot formation and decay Finnie P, Riel BJ, Wasilewski ZR |
2214 - 2218 |
Improved surface treatments for recycled (100) GaAs substrates in view of molecular-beam epitaxy growth: Auger electron spectroscopy, Raman, and secondary ion mass spectrometry analyses Negri F, Bedel-Pereira E |
2219 - 2224 |
Comparison of in situ and ex situ plasma-treated metalorganic chemical vapor deposition titanium nitride thin films Lim BK, Park HS, See AKH, Liu EZ, Wu SH |
2225 - 2228 |
Effect of implant oxide on ultrashallow junction formation Lindsay R, Lauwers A, Fruhauf J, de Potter M, Maex K |
2229 - 2232 |
Polymer thickness effects on Bosch etch profiles Craigie CJD, Sheehan T, Johnson VN, Burkett SL, Moll AJ, Knowlton WB |
2233 - 2237 |
Leveling effects of copper electrolytes with hybrid-mode additives Lin KC, Shieh JM, Chang SC, Dai BT, Chen CF, Feng MS, Li YH |
2238 - 2242 |
Study of focused ion beam response of GaAs in the nanoscale regime Lugstein A, Basnar B, Bertagnolli E |
2243 - 2247 |
Influence of the surface Si/buried oxide interface on extended defect evolution in silicon-on-insulator scaled to 300 angstrom Saavedra AF, Frazer J, Jones KS, Avci I, Earles SK, Law ME, Jones EC |
2248 - 2251 |
Submicron pattern transfer to binary semiconductors via micromolding in capillaries Pisignano D, Gigli G, Visconti P, Zocco A, Perrone A, Cingolani R |
2252 - 2255 |
Dry etching of GaP with emphasis on selective etching over AlGaP Epple JH, Sanchez C, Chung T, Cheng KY, Hsieh KC |
2256 - 2264 |
Comparative study of Ga- and N-polar GaN films grown on sapphire substrates by molecular beam epitaxy Huang D, Reshchikov MA, Visconti P, Yun F, Baski AA, King T, Morkoc H, Jasinski J, Liliental-Weber Z, Litton CW |
2265 - 2270 |
Cryogenic cleavage used in gold substrate production Mazurkiewicz J, Mearns FJ, Losic D, Weeks L, Waclawik ER, Rogers CT, Shapter JG, Gooding JJ |
2271 - 2274 |
Beam-focusing characteristics of the diamond-film field emission arrays with parallel emitter, gates, and in-plane lens Zeng BQ, Liu Y, Yang ZH, Qian SJ, Yang CF |
2275 - 2280 |
Plasma assisted deposition of nanocrystalline BCN thin films and property characterization Cao ZX, Liu LM, Oechsner H |
2281 - 2287 |
Evaluation of the ion bombardment energy on silicon dioxide films deposited from O-2/TEOS plasmas on Si and unstrained Si0.83Ge0.17/Si substrates Goghero D, Goullet A, Lebrizoual L, Meyer F, Turban G |
2288 - 2294 |
Investigation of active Si pitting and its impact on 0.15 and 0.30 mu m n-type metal-oxide-semiconductor and p-type metal-oxide-semiconductor transistors Chua CS, Chor EF, Goh F, See A, Chan L |
2295 - 2298 |
Investigations of pulse current electrodeposition for damascene copper metals Chang SC, Shieh JM, Dai BT, Feng MS |
2299 - 2302 |
Effects of Ar inductively coupled plasma exposure on 4H-SiC Schottky rectifiers Ip K, Nigam S, Lee KP, Baik KH, Chung GY, MacMillan MF, Ren F, Pearton SJ |
2303 - 2308 |
Structure and size distribution of TiO2 nanoparticles deposited on stainless steel mesh Li W, Shah SI, Sung M, Huang CP |
2309 - 2313 |
Fabrication of Si field emitter arrays integrated with metal-oxide-semiconductor field-effect transistor driving circuits Nagao M, Tamura Y, Matsukawa T, Kanemaru S, Itoh J, Tokunaga K |
2314 - 2319 |
Texture and resistivity of dilute binary Cu(Al), Cu(In), Cu(Ti), Cu(Nb), Cu(Ir), and Cu(W) alloy thin films Gungor A, Barmak K, Rollett AD, Cabral C, Harper JME |
2320 - 2327 |
Direct printing of polymer microstructures on flat and spherical surfaces using a letterpress technique Miller SM, Troian SM, Wagner S |
2328 - 2336 |
Characteristics of ultrathin Ta and TaN films Rossnagel SM |
2337 - 2345 |
Direct patterning of nanostructures by field-induced deposition from a scanning tunneling microscope tip Houel A, Tonneau D, Bonnail N, Dallaporta H, Safarov VI |
2346 - 2350 |
Electrical conductivity of sidewall-deposited fluorocarbon polymer in SiO2 etching processes Shimmura T, Soda S, Samukawa S, Koyanagi M, Hane K |
2351 - 2360 |
Thickness metrology and end point control in W chemical vapor deposition process from SiH4/WF6 using in situ mass spectrometry Xu Y, Gougousi T, Henn-Lecordier L, Liu Y, Cho S, Rubloff GW |
2361 - 2366 |
Effects of Ti addition on the morphology, interfacial reaction, and diffusion of Cu on SiO2 Liu CJ, Jeng JS, Chen JS, Lin YK |
2367 - 2374 |
Assessment of extreme ultraviolet-induced charging of subtractive metal lithography masks Klebanoff LE, Clift WM, Franco N, Bostedt C, Terminello LJ |
2375 - 2383 |
Morphology and luminescence of porous GaN generated via Pt-assisted electroless etching Diaz DJ, Williamson TL, Adesida I, Bohn PW, Molnar RJ |
2384 - 2387 |
Photoresponse characteristics of n-ZnO/p-Si heterojunction photodiodes Choi YS, Lee JY, Im S, Lee SJ |
2388 - 2392 |
Scanning tunneling microscopy of endohedral metallofullerene Lu-C-82 on C-60 film Shi BR, Wang XS, Huang HJ, Yang SH, Bachmann A, Cue N |
2393 - 2400 |
Radio-frequency discharge cleaning of silicon-capped Mo/Si multilayer extreme ultraviolet optics Graham S, Steinhaus C, Clift M, Klebanoff L |
2401 - 2407 |
Two-dimensional transverse cross-section nanopotentiometry of actively driven buried-heterostructure multiple-quantum-well lasers Ban D, Sargent EH, Dixon-Warren SJ, Calder I, Grevatt T, Knight G, White JK |
2408 - 2412 |
Near-surface deep-trap and bulk deep-trap states in InxGa1-xAs/GaAs Halder NC, Genareau K |
2413 - 2420 |
Effect of photoacid generator concentration on sensitivity, photoacid generation, and deprotection of chemically amplified resists Pawloski AR, Nealey PF |
2421 - 2432 |
Comparing ionized physical vapor deposition and high power magnetron copper seed deposition Stout PJ, Zhang D, Rauf S, Ventzek PLG |
2433 - 2440 |
Molybdenum-based nanostructured mixed oxides for sensing applications: Effect of the Mo oxide composition on the structure of sol-gel thin films Taurino A, Catalano M, Siciliano P, Galatsis K, Li YX, Wlodarski W |
2441 - 2448 |
Near-surface electronic defects and morphology of CuIn1-xGaxSe2 Strzhemechny YM, Smith PE, Bradley ST, Liao DX, Rockett AA, Ramanathan K, Brillson LJ |
2449 - 2458 |
Development of the point diffraction interferometer for extreme ultraviolet lithography: Design, fabrication, and evaluation Otaki K, Ota K, Nishiyama I, Yamamoto T, Fukuda Y, Okazaki S |
2459 - 2465 |
Fabrication of flexible polymer tubes for micro and nanofluidic applications Ilic B, Czaplewski D, Zalalutdinov M, Schmidt B, Craighead HG |
2466 - 2469 |
Photoinduced organic nanowires from self-assembled monolayers Qiao YH, Zeng QD, Tan ZY, Xu SD, Wang D, Wang C, Wan LJ, Bai CL |
2472 - 2472 |
Papers from the Third Low Energy Electron/Microscopy Photoemission Electron Microscopy Workshop - Preface Kellogg GL, van Gastel R |
2473 - 2477 |
Low energy electron microscopy studies of steps on single crystal thin films of refractory metals Ondrejcek M, Swiech W, Yang G, Flynn CP |
2478 - 2491 |
Low energy electron microscopy study of In on Si(111) Pavlovska A, Bauer E, Giessen M |
2492 - 2495 |
Growth shapes of Ag crystallites on the Si(111) surface Tang WX, Man KL, Huang HC, Woo CH, Altman MS |
2496 - 2499 |
Low energy electron microscopy/diffraction study on growth of Ge on Si(113) surface Yasue T, Koshikawa T, Bauer E |
2500 - 2504 |
Growth dynamics of titanium silicide nanowires observed with low-energy electron microscopy Bennett PA, Ashcroft B, He Z, Tromp RM |
2505 - 2508 |
In situ emission microscopy of field emitter cathode arrays Mulhollan GA, Jamison KD, Kordesch ME |
2509 - 2513 |
Scanning photoelectron microscopy study of as-grown and heat-treated chemical vapor deposition boron-doped diamond films Zakharov AA, Ralchenko V, Khmelnitskii R, Lindau I |
2514 - 2518 |
Photoelectron emission microscopy of ultrathin oxide covered devices Ballarotto VW, Breban M, Siegrist K, Phaneuf RJ, Williams ED |
2519 - 2525 |
Comparison of stigmatically focusing magnetic prisms of square versus round symmetries Kan HC, Durkop T, Phaneuf RJ |
2526 - 2534 |
Correction of chromatic and spherical aberration in electron microscopy utilizing the time structure of pulsed excitation sources Schonhense G, Spiecker H |
2535 - 2538 |
Scanning electron microscope with polarization analysis: Micromagnetic structures in ultrathin films Oepen HP, Steierl G, Kirschner J |
2539 - 2542 |
Low-energy electron microscopy/x-ray magnetic circular dichroism photoemission electron microscopy study of epitaxial MnAs on GaAs Bauer E, Cherifi S, Daeweritz L, Kaestner M, Heun S, Locatelli A |
2543 - 2549 |
Magnetic dichroisms in absorption and photoemission for magnetic characterization in x-ray photoelectron emission microscopy Kuch W, Chelaru LI, Offi F, Kotsugi M, Kirschner J |
2561 - 2561 |
Papers from the 46th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface Kunz RR |
2562 - 2566 |
Effect of high numerical aperture lens on lithographic performance in 157 nm lithography Itani T, Suganaga T, Kanda N, Kim JH, Watanabe K, Cashmore J, Gower M |
2567 - 2573 |
Prospects for photolithography at 121 nm Liberman V, Rothschild M, Murphy PG, Palmacci ST |
2574 - 2577 |
121.6 nm radiation source for advanced lithography Yan JX, El-Dakrouri A, Laroussi M, Gupta MC |
2578 - 2582 |
Optimizing vacuum ultraviolet attenuated phase shift masking materials Smith BW, Bourov AY, Liu Y |
2583 - 2588 |
Polarization contact: Concept and initial assessment Lam M, Neureuther A |
2589 - 2596 |
Optical imaging properties of dense phase shift feature patterns Fritze M, Tyrrell B, Mallen R, Wheeler B, Rhyins P, Martin P |
2597 - 2601 |
Parallel maskless optical lithography for prototyping, low-volume production, and research Gil D, Menon R, Tang XD, Smith HI, Carter DJD |
2602 - 2605 |
Interference pattern formation from an array of coherent laser beams Liu Y, Barhen J, Braiman Y, Zhong JX |
2606 - 2609 |
Simulation of critical dimension error using Monte Carlo method and its experimental verification Zinn SY, Lee SW, Choi SW, Sohn JM |
2610 - 2616 |
Operational model for pattern and probe based aberration monitors Robins G, Neureuther A |
2617 - 2621 |
Generalized scanning beam interference lithography system for patterning gratings with variable period progressions Pati GS, Heilmann RK, Konkola PT, Joo C, Chen CG, Murphy E, Schattenburg ML |
2622 - 2626 |
Electron projection lithography tool development status Miura T |
2627 - 2633 |
Evolution of electron projection optics from variable axis immersion lenses to projection reduction exposure with variable axis immersion lenses Stickel W, Pfeiffer HC, Golladay SD, Gordon MS |
2634 - 2639 |
Direct measurement of chromatic aberrations induced by SiNx continuous membrane mask Yahiro T, Suzuki S, Irita T, Kawata S, Suzuki K |
2640 - 2645 |
Development of a mask-scan electron beam mask writer Nishimura S, Shimizu M, Mitsui S, Akeno K, Kusakabe H, Ogasawara M, Hayashi R, Yanaga S, Kobayashi N, Tojo T |
2646 - 2650 |
Digital electrostatic electron-beam array lithography Baylor LR, Lowndes DH, Simpson ML, Thomas CE, Guillorn MA, Merkulov VI, Whealton JH, Ellis ED, Hensley DK, Melechko AV |
2651 - 2656 |
Maskless lithography using low-energy electron beam: Recent results for proof-of-concept system Nakasugi T, Ando A, Inanami R, Sasaki N, Ota J, Nagano O, Yamazaki Y, Sugihara K, Mori I, Miyoshi M, Okumura K |
2657 - 2661 |
Prototype raster multibeam lithography tool Coyle ST, Holmgren D, Chen X, Thomas T, Sagle A, Maldonado J, Shamoun B, Allen P, Gesley M |
2662 - 2665 |
Distributed axis electron-beam system for lithography and inspection - preliminary experimental results Pickard DS, Campbell C, Crane T, Cruz-Rivera LJ, Davenport A, Meisburger WD, Pease RFW, Groves TR |
2666 - 2671 |
Comprehensive model of electron energy deposition Han G, Khan M, Fang YH, Cerrina F |
2672 - 2677 |
Development of data conversion system for electron beam projection lithography Kato K, Nishizawa K, Haruki T, Inoue T, Kamijo K, Kojima S, Minami H, Okamoto K |
2678 - 2681 |
Testing an electrostatic deflection innovation: Initial experimental results Retsky M, Stein R |
2682 - 2685 |
Copper device editing: Strategy for focused ion beam milling of copper Casey JD, Phaneuf M, Chandler C, Megorden M, Noll KE, Schuman R, Gannon TJ, Krechmer A, Monforte D, Antoniou N, Bassom N, Li J, Carleson P, Huynh C |
2686 - 2689 |
Graphitization of Fe-doped amorphous carbon pillars grown by focused-ion-beam-induced chemical-vapor deposition Fujita J, Ishida M, Ichihashi T, Ochiai Y, Kaito T, Matsui S |
2690 - 2694 |
Conductance-atomic force microscope characterization of focused ion beam chip repair processes Marchman H, McMurray J, Wildman H |
2695 - 2699 |
End point of silicon milling using an optical beam induced current signal for controlled access to integrated circuits for backside circuit editing Antoniou N, Bassom NJ, Huynh C, Monforte D, Casey JD, Krechmer A, Carleson P |
2700 - 2704 |
Improvements in focused ion beam micromachining of interconnect materials Gonzalez JC, da Silva MIN, Griffis DP, Russell PE |
2705 - 2708 |
Scattering mask concept for ion-beam nanolithography Ruchhoeft P, Wolfe JC, Torres JL, Bass R |
2709 - 2712 |
Correcting for global space charge by positive ion generation Crane T, Campbell C, Pickard D, Han LQ, Takahashi K, Meisburger WD, Pease RF |
2713 - 2716 |
Ion projection sensitized selective Cu electroplating on uncoated p-Si Spiegel A, Bruenger WH, Dzionk C, Schmuki P |
2717 - 2720 |
Improvement in brightness of multicusp-plasma ion source Ji Q, Jiang X, King TJ, Leung KN, Standiford K, Wilde SB |
2721 - 2725 |
Role of oxygen in semiconductor negative electron affinity photocathodes Machuca F, Liu Z, Sun Y, Pianetta P, Spicer WE, Pease RFW |
2726 - 2729 |
Development of electromagnetic lenses for multielectron beam lithography system Haraguchi T, Sakazaki T, Hamaguchi S, Yasuda H |
2730 - 2733 |
Nanopipe fabrication using vertically aligned carbon nanofiber templates Melechko AV, McKnight TE, Guillorn MA, Austin DW, Ilic B, Merkulov VI, Doktycz MJ, Lowndes DH, Simpson ML |
2734 - 2738 |
Electrode modification by electron-induced patterning of self-assembled monolayers Kaltenpoth G, Volkel B, Nottbohm CT, Golzhauser A, Buck M |
2739 - 2744 |
Exploiting intermolecular interactions and self-assembly for ultrahigh resolution nanolithography Anderson ME, Smith RK, Donhauser ZJ, Hatzor A, Lewis PA, Tan LP, Tanaka H, Horn MW, Weiss PS |
2745 - 2748 |
Localized and directed lateral growth of carbon nanotubes from a porous template Wind SJ, Martel R, Avouris P |
2749 - 2752 |
Tunable distributed-feedback laser gratings for telecom applications, manufactured by electron-beam lithography Rishton SA, Pezeshki B, Zou S, Yoffe G, Henschel W |
2753 - 2757 |
Optical waveguides with apodized sidewall gratings via spatial-phase-locked electron-beam lithography Hastings JT, Lim MH, Goodberlet JG, Smith HI |
2758 - 2762 |
Surface diffusion and size evolution of nanostructures in laser-focused atomic deposition Zhong JX, Wells JC, Braiman Y |
2763 - 2767 |
Method for manufacturing nanoscale structures in transition metal layers van Delft FCMJM, Ketelaars WSMM, Kroon M, Lambregts J |
2768 - 2772 |
Nanostructure fabrication by direct electron-beam writing of nanoparticles Griffith S, Mondol M, Kong DS, Jacobson JM |
2773 - 2776 |
Characteristics of multiwalled carbon nanotube nanobridges fabricated by poly(methylmethacrylate) suspended dispersion Lee SB, Teo KBK, Robinson LAW, Teh AS, Chhowalla M, Hasko DG, Amaratunga GAJ, Milne WI, Ahmed H |
2777 - 2779 |
Nanoscale electron beam lithography and etching for fully depleted silicon-on-insulator devices Dreeskornfeld L, Hartwich J, Kretz J, Risch L, Roesner W, Schmitt-Landsiedel D |
2780 - 2783 |
Multilevel silicon diffractive optics for terahertz waves Walsby ED, Wang S, Xu J, Yuan T, Blaikie R, Durbin SM, Zhang XC, Cumming DRS |
2784 - 2787 |
Density estimation for amorphous carbon nanopillars grown by focused ion beam assisted chemical vapor deposition Ishida M, Fujita J, Ochiai Y |
2788 - 2792 |
Process integration of self-assembled polymer templates into silicon nanofabrication Guarini KW, Black CT, Zhang Y, Kim H, Sikorski EM, Babich IV |
2793 - 2797 |
Design and prototyping of a micropropulsion system for microsatellites attitude control and orbit correction Vaccari L, Altissimo M, Di Fabrizio E, De Grandis F, Manzoni G, Santoni F, Graziani F, Gerardino A, Perennes F, Miotti P |
2798 - 2801 |
Fabrication and electrical characterization of top gate single-wall carbon nanotube field-effect transistors Wind SJ, Appenzeller J, Martel R, Derycke V, Avouris P |
2802 - 2805 |
Hybrid assembly technique using alternating current field for molecular electronic measurements Amlani I, Rawlett AM, Nagahara LA, Tsui RK |
2806 - 2809 |
Single-electron parametron memory cell Emiroglu EG, Durrani ZAK, Hasko DG, Williams DA |
2810 - 2813 |
Quantum dot with independently tunable tunneling barriers fabricated using an atomic force microscope Nemutudi R, Smith CG, Ford CJB, Appleyard NJ, Pepper M, Ritchie DA, Jones GAC |
2814 - 2818 |
Fabrication of spin-current-induced domain-wall-nucleation device in planar configuration Kimura T, Wakaya F, Gamo K |
2819 - 2823 |
Single ion implantation for solid state quantum computer development Schenkel T, Persaud A, Park SJ, Meijer J, Kingsley JR, McDonald JW, Holder JP, Bokor J, Schneider DH |
2824 - 2828 |
Single-electron transistor structures based on silicon-on-insulator silicon nanowire fabrication by scanning probe lithography and wet etching Sheu JT, You KS, Wu CH, Chang KM |
2829 - 2833 |
Sub-70 nm extreme ultraviolet lithography at the Advanced Light Source static microfield exposure station using the engineering test stand set-2 optic Naulleau P, Goldberg KA, Anderson EH, Attwood D, Batson P, Bokor J, Denham P, Gullikson E, Harteneck B, Hoef B, Jackson K, Olynick D, Rekawa S, Salmassi F, Blaedel K, Chapman H, Hale L, Mirkarimi P, Soufli R, Spiller E, Sweeney D, Taylor J, Walton C, O'Connell D, Tichenor D, Gwyn CW, Yan PY, Zhang GJ |
2834 - 2839 |
Testing extreme ultraviolet optics with visible-light and extreme ultraviolet interferometry Goldberg KA, Naulleau P, Bokor J, Chapman HN, Barty A |
2840 - 2843 |
Particle-induced distortion in extreme ultraviolet lithography reticles during exposure chucking Tejeda R, Engelstad R, Lovell E, Blaedel K |
2844 - 2848 |
Multiple-beam interference lithography with electron beam written gratings Solak HH, David C, Gobrecht J, Wang L, Cerrina F |
2849 - 2852 |
Lithographic aerial-image contrast measurement in the extreme ultraviolet engineering test stand Lee SH, Tichenor DA, Naulleau P |
2853 - 2856 |
High-resolution transfer printing on GaAs surfaces using alkane dithiol monolayers Loo YL, Hsu JWP, Willett RL, Baldwin KW, West KW, Rogers JA |
2857 - 2861 |
Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templates Dauksher WJ, Nordquist KJ, Mancini DP, Resnick DJ, Baker JH, Hooper AE, Talin AA, Bailey TC, Lemonds AM, Sreenivasan SV, Ekerdt JG, Willson CG |
2862 - 2866 |
Polymer microring resonators fabricated by nanoimprint technique Chao CY, Guo LJ |
2867 - 2871 |
Imprint lithography for curved cross-sectional structure using replicated Ni mold Hirai Y, Harada S, Kikuta H, Tanaka Y, Okano M, Isaka S, Kobayasi M |
2872 - 2876 |
Reversal imprinting by transferring polymer from mold to substrate Huang XD, Bao LR, Cheng X, Guo LJ, Pang SW, Yee AF |
2877 - 2880 |
High-resolution organic polymer light-emitting pixels fabricated by imprinting technique Cheng X, Hong YT, Kanicki J, Guo LJ |
2881 - 2886 |
Nanoimprinting over topography and multilayer three-dimensional printing Bao LR, Cheng X, Huang XD, Guo LJ, Pang SW, Yee AF |
2887 - 2890 |
Fabrication of high electron mobility transistors with T-gates by nanoimprint lithography Chen Y, Macintyre D, Boyd E, Moran D, Thayne I, Thoms S |
2891 - 2895 |
Prediction of fabrication distortions in step and flash imprint lithography templates Martin CJ, Engelstad RL, Lovell EG, Resnick DJ, Weisbrod EJ |
2896 - 2901 |
Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templates Mancini DP, Gehoski KA, Ainley E, Nordquist KJ, Resnick DJ, Bailey TC, Sreenivasan SV, Ekerdt JG, Willson CG |
2902 - 2908 |
Evaluation of siloxane and polyhedral silsesquioxane copolymers for 157 nm lithography Bellas V, Tegou E, Raptis I, Gogolides E, Argitis P, Iatrou H, Hadjichristidis N, Sarantopoulou E, Cefalas AC |
2909 - 2912 |
Fluoropolymer-based resists for a single-resist process of 157 nm lithography Toriumi M, Yamazaki T, Furukawa T, Irie S, Ishikawa S, Itani T |
2913 - 2919 |
Electrostatic effects during dissolution of positive tone photoresists Schmid GM, Burns SD, Tsiartas PC, Willson CG |
2920 - 2926 |
Probing surface and bulk chemistry in resist films using near edge X-ray absorption fine structure Lenhart JL, Jones RL, Lin EK, Soles CL, Wu WL, Fischer DA, Sambasivan S, Goldfarb DL, Angelopoulos M |
2927 - 2931 |
Depth dependence of resist line-edge roughness: Relation to photoacid diffusion length Shin J, Ma Y, Cerrina F |
2932 - 2936 |
Delay-time and aging effects on contrast and sensitivity of hydrogen silsesquioxane van Delft FCMJM |
2937 - 2941 |
Low stress development of poly(methylmethacrylate) for high aspect ratio structures Rooks MJ, Kratschmer E, Viswanathan R, Katine J, Fontana RE, MacDonald SA |
2942 - 2945 |
Photopatternable sol-gel for compound semiconductor processing Fallahi M, Bedford R, Lu D |
2946 - 2952 |
Acid catalyst mobility in resist resins Stewart MD, Tran HV, Schmid GM, Stachowiak TB, Becker DJ, Willson CG |
2953 - 2957 |
Suppression of secondary electron blur by using Br-containing resists in x-ray lithography Kise K, Marumoto K, Watanabe H, Itoga K, Kumada T, Sumitani H, Kitayama T, Amemiya M, Watanabe Y, Uda K |
2958 - 2961 |
Evaluation of calixarene-derivatives as high-resolution negative tone electron-beam resists Sailer H, Ruderisch A, Kern DP, Schurig V |
2962 - 2967 |
Photospeed considerations for extreme ultraviolet lithography resists Dentinger PM, Hunter LL, O'Connell DJ, Gunn S, Goods D, Fedynyshyn TH, Goodman RB, Astolfi DK |
2968 - 2972 |
Partially hydrogenated poly(vinyl phenol) based photoresist for near UV, high aspect ratio micromachining Chatzichristidi M, Raptis I, Argitis P, Everett J |
2973 - 2978 |
Thermal-flow techniques for sub-35 nm contact-hole fabrication in electron-beam lithography Chen HL, Chen CH, Ko FH, Chu TC, Pan CT, Lin HC |
2979 - 2983 |
Extendibility of proximity x-ray lithography to 25 nm and below Toyota E, Washio M |
2984 - 2990 |
Collimated point-source x-ray nanolithography Forber RA, Chen ZW, Menon R, Grygier R, Mrowka S, Turcu ICE, Gaeta CJ, Cassidy K, Smith HI |
2991 - 2994 |
Achieving nanometer-scale, controllable pattern shifts in x-ray lithography using an assembly-tilting technique Qi MH, Smith HI |
2995 - 2999 |
Dynamic studies of hard pellicle response during exposure scanning Cotte EP, Abdo AY, Engelstad RL, Lovell EG |
3000 - 3005 |
Characterization of extreme ultraviolet lithography mask defects by actinic inspection with broadband extreme ultraviolet illumination Park M, Yi M, Mirkarimi P, Larson C, Bokor J |
3006 - 3009 |
Ultraviolet and direct ultraviolet inspection of next generation lithography reticles Pettibone D, Dayal A, Veldman A, Stokowski S |
3010 - 3014 |
Fabrication of complete 8 in stencil mask for electron projection lithography Amemiya I, Yamashita H, Nakatsuka S, Kimura I, Tsukahara M, Yasumatsu S, Nagarekawa O |
3015 - 3020 |
Complementary mask pattern split for 8 in stencil masks in electron projection lithography Yamashita H, Takahashi K, Amemiya I, Takeuchi K, Masaoka H, Takenaka H, Yamabe M |
3021 - 3024 |
Sub-50 nm stencil mask for low-energy electron-beam projection lithography Yoshizawa M |
3025 - 3028 |
Stencil reticle inspection using a deep ultraviolet microscope Okada M, Katakura N, Kawata S |
3029 - 3034 |
Proximity and heating effects during electron-beam patterning of ultraviolet lithography masks Lu B, Wasson JR, Weisbrod EJ, Masnyj Z, Mangat PJS, Nordquist K, Resnick D |
3035 - 3039 |
Efficient phase defect modeling using domain decomposition methods Adam K, Neureuther AR |
3040 - 3043 |
Fabrication of x-ray masks using evaporated electron sensitive layers for back patterning of membranes Awad Y, Lavallee E, Beauvais J, Drouin D, Yang P, Turcotte D, Mun LK |
3044 - 3046 |
Submicron thermocouple measurements of electron-beam resist heating Chu DC, Bilir DT, Pease RFW, Goodson KE |
3047 - 3052 |
Thermal modeling of extreme ultraviolet and step and flash imprint lithography substrates during dry etch Weisbrod EJ, Dauksher WJ, Zhang D, Rauf S, Mangat PJS, Ventzek PLG, Smith KH, Clemens SB, Martin CJ, Engelstad RL |
3053 - 3057 |
Electron projection lithography mask format layer stress measurement and simulation of pattern transfer distortion Reu PL, Chen CF, Engelstad RL, Lovell EG, Bayer T, Greschner J, Kalt S, Weiss H, Wood OR, Mackay RS |
3058 - 3062 |
Advanced die-to-database inspection technique for embedded attenuated phase shift mask Yamashita K, Isomura I, Tsuchiya H, Watanabe T, Inoue H, Endo S, Tokita M |
3063 - 3066 |
Two-dimensional dopant profiling of ultrashallow junctions by electron holography Thesen AE, Frost BG, Joy DC |
3067 - 3070 |
Liquid immersion lens technology applied to laser voltage probing of 130 nm process technology devices Nataraj N, Deslandes H, Lo W, Eiles T, Pardy P |
3071 - 3074 |
Beam alignment for scanning beam interference lithography Chen CG, Heilmann RK, Joo C, Konkola PT, Pati GS |
3075 - 3079 |
Precision fringe metrology using a Fresnel zone plate Joo C, Pati GS, Chen CG, Konkola PT, Heilmann RK, Schattenburg ML, Liddle A, Anderson EH |
3080 - 3084 |
Accurate reflectometry for extreme-ultraviolet lithography at the National Institute of Standards and Technology Grantham S, Tarrio C, Lucatorto TB |
3085 - 3088 |
Technique for preparation of precise wafer cross sections and applications to electron beam lithography of poly(methylmethacrylate) resist Hu WC, Orlova T, Bernstein GH |
3089 - 3094 |
Electromigration in passivated Cu interconnects studied by transmission x-ray microscopy Schneider G, Meyer MA, Denbeaux G, Anderson E, Bates B, Pearson A, Knochel C, Hambach D, Stach EA, Zschech E |
3095 - 3098 |
High precision stress measurement of ion projection lithography mask membranes Torres JL, Wolfe JC, Ruchhoeft P, Kennedy TF, Podolski J, Kragler K, Ehrmann A, Kaesmaier R, Loschner H |
3099 - 3105 |
Adaptive alignment of photomasks for overlay improvement Chen CF, Engelstad RL, Lovell EG, White DL, Wood OR, Smith MK, Harriott LR |
3106 - 3110 |
Advanced time-multiplexed plasma etching of high aspect ratio silicon structures Blauw MA, Craciun G, Sloof WG, French PJ, van der Drift E |
3111 - 3117 |
Profile simulation of gas chopping based etching processes Volland BE, Ivanov T, Rangelow IW |