L35 - L37 |
Fabrication and evaluation of highly manufacturable nanoscale flow-through parallel electrode structures Nam WJ, Fonash SJ, Cuiffi JD |
L38 - L43 |
Analytical study on small contact hole process for sub-65 nm node generation Kim HW, Yoon JY, Hah JH, Woo SG, Cho HK, Moon JT |
L44 - L46 |
Reversible nanochemical conversion Sugimura H, Lee SH, Saito N, Takai O |
2563 - 2567 |
Thermally actuated probe array for parallel dip-pen nanolithography Wang XF, Bullen DA, Zou J, Liu C, Mirkin CA |
2568 - 2573 |
Effect of spontaneous and piezoelectric polarization on intersubband transition in AlxGa1-xN-GaN quantum well Li JM, Lu YW, Li DB, Han XX, Zhu QS, Liu XL, Wang ZG |
2574 - 2579 |
Modeling of electronic transport in GaN n-i-p junctions Mayer A, Miskovsky NM, Cutler PH |
2580 - 2588 |
Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. V. Effects of C/F ratio in plasma gases Min JH, Lee GR, Lee JK, Moon SH |
2589 - 2593 |
Fabrication of ordered array of tungsten nanoparticles on anodic porous alumina by electron-beam-induced selective deposition Xie GQ, Song MH, Mitsuishi K, Furuya K |
2594 - 2603 |
Investigation of surface modifications of 193 and 248 nm photoresist materials during low-pressure plasma etching Ling L, Hua X, Li X, Oehrlein GS, Hudson EA, Lazzeri P, Anderle M |
2604 - 2610 |
Influence of molecular weight of resist polymers on surface roughness and line-edge roughness Yamaguchi T, Yamazaki K, Namatsu H |
2611 - 2614 |
Mechanism of Cu oxidation in ashing process Kojima A, Sakai T, Ohiwa T |
2615 - 2619 |
Dielectric properties of highly (100) oriented (Pb-0.5,Sr-0.5)TiO3 thin films grown on Si with MgO buffer layer Kim KT, Kim C |
2620 - 2627 |
Mechanisms, of nano-hole drilling due to nano-probe intense electron beam irradiation on a stainless steel Bysakh S, Shimojo M, Mitsuishi K |
2628 - 2631 |
Pt nanostructured electrode encapsulated by a tantalum oxide for thin-film fuel cell Park KW, Sung YE |
2632 - 2634 |
Surface flatness of polycrystalline copper after argon ion etching followed by annealing Hino T, Taguchi T, Yarnauchi Y, Hirohata Y, Nishikawa M |
2635 - 2639 |
Annealing temperature stability of Ir and Ni-based Ohmic contacts on AlGaN/GaN high electron mobility transistors Kang BS, Kim S, La Roche JR, Ren F, Fitch RC, Gillespie JK, Moser N, Jenkins T, Sewell J, Via D, Crespo A, Dabiran AM, Chow PP, Osinsky A, Pearton SJ |
2640 - 2648 |
High resolution and aspect ratio two-dimensional photonic band-gap crystal Teo SHG, Liu AQ, Singh J, Yu MB |
2649 - 2653 |
Damascene Cu electrodeposition on metal organic chem-ical vapor deposition-grown Ru thin film barrier Cho SK, Kim SK, Han H, Kim JJ, Oh SM |
2654 - 2657 |
Low temperature growth of amorphous Si nanoparticles in oxide matrix for efficient visible, photoluminescence Ma LB, Ji AL, Liu C, Wang YQ, Cao ZX |
2658 - 2662 |
Dielectric function of thin-film titanium oxide with a granular nanostructure Sorbello RS, DeLoach JD, Aita CR, Fejes P |
2663 - 2667 |
Molecular-beam-epitaxy growth of high-quality InGaAsN/GaAs quantum well lasers emitting at 1.3 mu m Wang JS, Hsiao RS, Lin G, Lin KF, Liu HY, Lai CM, Wei L, Liang CY, Chi JY, Kovsh AR, Maleev NA, Livshits DA, Chen JF, Yu HC, Ustinov VM |
2668 - 2672 |
Optical study of spin injection dynamics in InGaN/GaN quantum wells with GaMnN injection layers Buyanova IA, Bergman JP, Chen WM, Thaler G, Frazier R, Abernathy CR, Pearton SJ, Kim J, Ren F, Kyrychenko FV, Stanton CJ, Pan CC, Chen GT, Chyi J, Zavada JM |
2673 - 2679 |
Evaluation of atomic exchange in GaAs/GaP interfaces by tetragonal distortion measurements Ferrer JC, Peiro F, Cornet A, Armelles G |
2680 - 2684 |
Fabrication of diamond-like amorphous carbon cantilever resonators Chua DHC, Milne WI, Sheeja D, Tay BK, Schneider D |
2685 - 2690 |
Fabrication of masters for nanoimprint, step and flash, and soft lithography using hydrogen silsesquioxane and x-ray lithography Junarsa I, Nealeya PF |
2691 - 2697 |
Strain and electrical characterization of metal-oxide-semiconductor field-effect transistor fabricated on mechanically and thermally transferred silicon on insulator films Lu F, Bickford J, Novotny C, Yu PKL, Lau SS, Henttinen K, Suni T, Suni I |
2698 - 2701 |
Effect of the deposition temperature on temperature coefficient of resistance in CuNi thin film resistors Hur SG, Kim DJ, Kang YD, Yoon SG |
2702 - 2708 |
Effects of postannealing on the bulk and interfacial characteristics of ZrO2 gate dielectrics prepared on Si by metalorganic chemical vapor deposition Huang SS, Wu TB |
2709 - 2714 |
Synthesis of tin-incorporated nanocomposite diamond like carbon films by plasma enhanced chemical vapor deposition and their characterization Kundoo S, Saha P, Chattopadhyay KK |
2715 - 2718 |
Microstructure and resistivity characterization of CuAuI superlattice formed in Gu/Au thin films Zhang W, Brongersma SH, Richard O, Brijs B, Palmans R, Froyen L, Maex K |
2719 - 2726 |
Hydrogen in Si-Si bond center and platelet-like defect configurations in amorphous hydrogenated silicon Agarwal S, Hoex B, van de Sanden MCM, Maroudas D, Aydil ES |
2727 - 2733 |
Temperature-dependent dc characteristics of an InGaAs/InGaAsP heterojunction bipolar transistor with an InGaAsP spacer and a composite-collector structure Chen JY, Chen CY, Lee KM, Yen CH, Tsai SF, Cheng SY, Liu WC |
2734 - 2742 |
Chemically enhanced physical vapor deposition of tantalum nitride-based films for ultra-large-scale integrated devices Li N, Ruzic DN, Powell RA |
2743 - 2747 |
Experimental relationship between work function and dipole moment on ErO/W(100) and LuO/W(100) emitter surfaces Saito Y, Yada K, Minami K, Nakane H, Adachi H |
2748 - 2753 |
Format ion of silicon on plasma synthesized aluminum nitride structure by ion cutting Zhu M, Chen P, Fu RKY, Liu WL, Lin CL, Chu PK |
2754 - 2757 |
Effects of applied voltages on planarization efficiency of Cu electropolishing Chang SC, Wang YL |
2758 - 2763 |
Optical and electrical properties of AlCrN films grown by molecular beam epitaxy Polyakov AY, Smirnov NB, Govorkov AV, Frazier RM, Liefer JY, Thaler GT, Abernathy CR, Pearton SJ, Zavada JM |
2764 - 2767 |
High aspect ratio pattern transfer in imprint lithography using a hybrid mold Liao WC, Hsu SLC |
2768 - 2771 |
Fabrication of metallic nanostructures by atomic force microscopy nanomachining and lift-off process Hsu JH, Lin CY, Lin HN |
2772 - 2784 |
Etching of porous SiOCH materials in fluorocarbon-based plasmas Posseme N, Chevolleau T, Joubert O, Vallier L, Rochat N |
2785 - 2791 |
Detection of bacterial cells and antibodies using surface micromachined thin silicon cantilever resonators Gupta A, Akin D, Bashir R |
2792 - 2798 |
Formation of density controlled Cr-filled carbon nanotubes on Au-Cr solid solution Kamide K, Araki H, Hiwatashi S, Yoshino K, Sakata T, Lee JG, Mori H |
2799 - 2803 |
Nanocomposite low-k SiCOH films by plasma-enhanced chemical vapor deposition using vinyltrimethylsilane and CO2 Jeong KH, Park SG, Rhee SW |
2804 - 2810 |
Ag metallization on silicides with nitride barriers Mitan MM, Kim HC, Alford TL, Mayer JW, Malgas GF, Adams D |
2811 - 2817 |
Fabrication and properties of ultranano, nano, and microcrystalline diamond membranes and sheets Reinhard DK, Grotjohn TA, Becker M, Yaran MK, Schuelke T, Asmussen J |
2818 - 2822 |
Ultraviolet-induced damage in fluorocarbon plasma and its reduction by pulse-time-modulated plasma in charge coupled device image sensor wafer processesx Okigawa M, Ishikawa Y, Ichihashi Y, Samukawa S |
2823 - 2835 |
Nanostructures produced by ultraviolet laser irradiation of silicon. I. Rippled structures Pedraza AJ, Guan YF, Fowlkes JD, Smith DA |
2836 - 2843 |
Nanostructures produced by ultraviolet laser irradiation of silicon. II. Nanoprotrusions and nanoparticles Guan YF, Pedraza AJ, Fowlkes JD, Joy DA |
2844 - 2852 |
Particle adhesion and removal mechanisms during brush scrubber cleaning Xu K, Vos R, Vereecke G, Doumen G, Fyen W, Mertens PW, Heyns MM |
2853 - 2859 |
Application of ion beam etching technique to the direct fabrication of silicon microtip arrays Zhang XY, Tang QL, Tang JM |
2860 - 2862 |
Cold-field-emission tips aging study using surface potential measurements Dongmo H, Guasch C, Bonnet J |
2863 - 2863 |
Nanofabrication using structure controlled hydrogenated Si clusters deposited on Si surfaces (vol 18, pg 3497, 2000) Kanayama T, Watanabe MO, Bolotov L, Uchida N |
2876 - 2876 |
Papers from the 48th International Conference on Electron, Ion, And photon Beam Technology and Nanofabrication - Preface Engelstad RL |
2877 - 2881 |
Liquid immersion lithography: Why, how, and when? Rothschild M, Bloomstein TM, Kunz RR, Liberman V, Switkes M, Palmacci ST, Sedlacek JHC, Hardy D, Grenville A |
2882 - 2884 |
Reaching for the bottom: The evolution of EIPBN Smith HI, Pease RF |
2885 - 2890 |
Full-field exposure performance of electron projection lithography tool Suzuki K, Hirayanagi N, Fujiwara T, Yamada A, Ikeda J, Yahiro T, Kojima S, Udagawa J, Yamamoto H, Katakura N, Suzuki M, Aoyama T, Takekoshi H, Umemoto T, Shimizu H, Fukui S, Suzuki S, Okino T, Ohkubo Y, Shimoda T, Tanida T, Watanabe Y, Kohama Y, Ohmori K, Mori F, Takemoto S, Yoshioka T, Hirose H, Morita K, Hada K, Kawata S, Kakizaki Y, Miura T |
2891 - 2896 |
Influence of Coulomb effects on electron projection lithography process Yamamoto J, Yamashita H, Yamabe M, Admoto H |
2897 - 2901 |
Probe shape measurement in an electron beam lithography system Liddle JA, Naulleau P, Schmid G |
2902 - 2906 |
Electron-beam-based photomask repair Edinger K, Becht H, Bihr J, Boegli V, Budach M, Hofmann T, Koops HWP, Kuschnerus P, Oster J, Spies P, Weyrauch B |
2907 - 2911 |
Electron beam induced conductivity in polymethyl methacrylate, polyimide, and SiO2 thin films Bai M, Pease F |
2912 - 2916 |
Full MEMS monolithic microcolumn for wafer-level arrayal Kim H, Han C, Kim J, Kim H, Chun K |
2917 - 2922 |
Variable cell projection as an advance in electron-beam cell projection system Yamada A, Yabe T |
2923 - 2928 |
3D proximity effect correction based on the simplified electron energy flux model in electron-beam lithography Osawa M, Ogino K, Hoshino H, Machida Y, Arimoto H |
2929 - 2935 |
Representation of nonrectangular features for exposure estimation and proximity effect correction in electron-beam lithography Lee SY, Hu F, Ji J |
2936 - 2942 |
Electron beam lithography for data storage: Quantifying the proximity effect as a function of CAD design and thin metal layers Eckert A, Mountfield K |
2943 - 2947 |
Experimental and simulation comparison of electron-beam proximity correction Leunissen LHA, Jonckheere R, Hofmann U, Unal N, Kalus C |
2948 - 2955 |
Optimum dose for shot noise limited CD uniformity in electron-beam lithography Kruit P, Steenbrink S, Jager R, Wieland M |
2956 - 2961 |
At-wavelength alignment and testing of the 0.3 NA MET optic Goldberg KA, Naulleau PP, Denham PE, Rekawa SB, Jackson K, Anderson EH, Liddle JA |
2962 - 2965 |
Extreme ultraviolet microexposures at the Advanced Light Source using the 0.3 numerical aperture micro-exposure tool optic Naulleau PP, Goldberg KA, Anderson E, Cain JP, Denham P, Jackson K, Morlens AS, Rekawa S, Salmassi F |
2966 - 2969 |
Effects of flare in extreme ultraviolet lithography: Learning from the engineering test stand Chandhok M, Lee SH, Bacuita T |
2970 - 2974 |
Phase measurement of reflection of EUV multilayer mirror using EUV standing waves Miyake A, Amemiya M, Masaki F, Watanabe Y |
2975 - 2979 |
Development of projection optics set-3 for high-numerical-aperture extreme ultraviolet exposure tool (HiNA) Oshino T, Takahashi S, Yamamoto T, Miyoshi T, Shiraishi M, Komiya T, Kandaka N, Kondo H, Mashima K, Nomura K, Murakami K, Okuyama T, Oizumi H, Nishiyama I, Okazaki S |
2980 - 2983 |
Astigmatism measurement by lateral shearing interferometer Liu ZQ, Sugisaki KR, Ishii M, Zhu Y, Saito J, Suzuki A, Hasegawa M, Murakami K |
2984 - 2986 |
Angular dependency of off-axis illumination on 100-nm-width pattern printability for extreme ultraviolet lithography: Ru/Mo/Si reflector system Kang IY, Chung YC, Ahn J, Oh HK, Watanabe T, Kinoshita H |
2987 - 2991 |
Conductive nanostructure fabrication by focused ion beam direct-writing of silver nanoparticles Kong DS, Varsanik JS, Griffith S, Jacobson JM |
2992 - 2994 |
Single ion implantation with scanning probe alignment Persaud A, Allen FI, Giccluel F, Park SJ, Liddle JA, Schenkel T, Ivanov T, Ivanova K, Rangelow IW, Bokor J |
2995 - 2999 |
Advanced nanoscale material processing with focused ion beams Lugstein A, Basnar B, Smoliner J, Bertagnolli E, Weil M |
3000 - 3003 |
Focused ion beam induced deposition of low-resistivity copper material Gannon TJ, Gu G, Casey JD, Huynh C, Bassom N, Antoniou N |
3004 - 3007 |
Optimum mode of operation for a low energy focused ion beam system Rauscher M, Plies E |
3008 - 3011 |
Gas delivery and virtual process chamber concept for gas-assisted material processing in a focused ion beam system Ray V |
3012 - 3015 |
Formation of GaN films by Ga ion direct deposition under nitrogen radical atmosphere Toda M, Yanagisawa J, Gamo K, Akasaka Y |
3016 - 3020 |
Investigations of the Ga+ focused-ion-beam implantation in resist films for nanometer lithography applications Arshak K, Mihov M, Nakahara S, Arshak A, McDonagh D |
3021 - 3024 |
Initial lithography results from the digital electrostatic e-beam array lithography concept Baylor LR, Gardner WL, Yang X, Kasica RJ, Guillorn MA, Blalock B, Cui H, Hensley DK, Islam S, Lowndes DH, Melechko AV, Merkulov VI, Joy DC, Rack PD, Simpson ML, Thomas DK |
3025 - 3031 |
Cs halide photocathode for multi-electron-beam pattern generator Maldonado JR, Coyle ST, Shamoun B, Yu M, Gesley M, Pianetta P |
3032 - 3037 |
Alpha-prototype system for zone-plate-array lithography Menon R, Patel A, Moon EE, Smith HI |
3038 - 3042 |
Comparison of tilting and piston mirror elements for 65 nm node spatial light modulator optical maskless lithography Watson GP, Aksyuk V, Tennant DM, Cirelli RA |
3043 - 3048 |
Effect of electrostatic chucking and substrate thickness uniformity on extreme ultraviolet lithography mask flatness Mikkelson A, Engelstad R, Sohn J, Lovell E |
3049 - 3052 |
High reflectance of reflective-type attenuated-phase-shifting masks for extreme ultraviolet lithography with high inspection contrast in deep ultraviolet regimes Chen HL, Cheng HC, Ko TS, Ko FH, Chu TC |
3053 - 3058 |
Effect of mask pattern correction for off-axis incident light in extreme ultraviolet lithography Sugawara M, Nishiyama I, Takai M |
3059 - 3062 |
Characterization of extreme ultraviolet masks by extreme ultraviolet scatterometry Perlich J, Kamm FM, Rau J, Scholze F, Ulm G |
3063 - 3066 |
Influence of glass substrate surface roughness on extreme ultraviolet reflectivity of Mo/Si multilayer Miyagaki S, Yamanashi H, Yamaguchi A, Nishiyama L |
3067 - 3071 |
Lithographic performance of diamond-like carbon membrane mask in electron projection lithography Yamashita H, Amemiya I, Yamabe M, Arimoto H |
3072 - 3076 |
Ultrathin membrane masks for electron projection lithography Wood OR, Trybula WJ, Greschner J, Kalt S, Bayer T, Shimizu S, Yamamoto H, Suzuki K, Gordon MS, Robinson CF, Dhaliwal RS, Thiel CW, Caldwell N, Lawliss MS, Huang C |
3077 - 3081 |
Assessment of image placement errors induced in electron projection lithography masks by chucking Chang J, Engelstad RL, Lovell EG, Trybula WJ, Wood OR |
3082 - 3086 |
Thermal analysis of diamondlike carbon membrane masks in projection electron-beam lithography Babin S, Butomo V, Kuzmin IY, Yamashita H, Yamabe M |
3087 - 3091 |
Stress and image-placement distortions of 200 mm low-energy electron projection lithography masks Eguchi H, Susa T, Sumida T, Kurosu T, Yoshii T, Yotsui K, Itoh K, Tamura A, Engelstad RL, Lovell EG, Azkorra X, Mikkelson A, Chang J, Janowski SM |
3092 - 3096 |
Approach to full-chip simulation and correction of stencil mask distortion for proximity electron lithography Sawamura J, Suzuki K, Omori S, Ashida I, Ohnuma H |
3097 - 3101 |
Optimized HT-AttPSM blanks using Al2O3/TiO2 multilayer films for the 65 nm technology node Lai FD |
3102 - 3106 |
Pattern transferring technique using reversal mask process Kato H, Abe J, Onishi Y |
3107 - 3111 |
Assembly and electrical characterization of DNA-wrapped carbon nanotube devices Talin AA, Dentinger PM, Jones FE, Pathak S, Hunter L, Leonard F, Morales AM |
3112 - 3114 |
Silicon nitride gate dielectric for top-gated carbon nanotube field effect transistors Li SD, Yu Z, Burke PJ |
3115 - 3118 |
Formation of 15 nm scale Coulomb blockade structures in silicon by electron beam lithography with a bilayer resist process Park SJ, Liddle JA, Persaud A, Allen FI, Schenkel T, Bokor J |
3119 - 3123 |
Single electron memory devices utilizing Al2O3 tunnel oxide barriers Yadavalli KK, Anderson NR, Orlova TA, Orlov AO, Snider GL, Elam J |
3124 - 3127 |
Electron beam stimulated field-emission from single-walled carbon nanotubes Nojeh A, Wong WK, Yieh E, Pease RF, Dai HJ |
3128 - 3132 |
Fabrication and characterization of Au island single-electron transistors with CrOx step edge junctions Luo XN, Orlov AO, Snider GL |
3133 - 3136 |
Wavelength tuning of an antenna-coupled infrared microbolometer Gritz MA, Metzler M, Malocha D, Abdel-Rahman M, Monacelli B, Zummo G, Boreman GD |
3137 - 3142 |
Nanomechanical switch fabrication by focused-ion-beam chemical vapor deposition Morita T, Kondo K, Hoshino T, Kaito T, Fujita J, Ichihashi T, Ishida M, Ochiai Y, Tajima T, Matsui S |
3143 - 3146 |
Fatigue life of a microcantilever beam in bending Hocheng H, Kao KS, Fang W |
3147 - 3150 |
Compliant pin chuck for minimizing the effect of backside particles on wafer planarity Nimmakayala PK, Sreenivasan SV |
3151 - 3153 |
Piezoelectric projective displays Park BM, Ha SW, Lee GS, Wong HY, Feldman M, Yun SK |
3154 - 3157 |
Nanoflash device with self-aligned double floating gates using scanning probe lithography and tetramethylammonium hydroxide wet etching Sheu JT, Chen CC, You KS, Tsai ST |
3158 - 3162 |
Three-dimensional and multimaterial microfabrication using focused-ion-beam chemical-vapor deposition and its application to processing nerve electrodes Hoshino T, Kawamori M, Suzuki T, Matsui S, Mabuchi K |
3163 - 3167 |
Biological lithography: Improvements in DNA synthesis methods Kim C, Li M, Rodesch M, Lowe A, Richmond K, Cerrina F |
3168 - 3173 |
Assembled micro-electromechanical-systems microcolumn from a single layer silicon process Saini R, Jandric Z, Tsui K, Udeshi T, Tuggle D |
3174 - 3177 |
Deep-ultraviolet-microelectromechanicaI systems stencils for high-throughput resistless. patterning of mesoscopic structures van den Boogaart MAF, Kim GM, Pellens R, van den Heuvel JP, Brugger J |
3178 - 3181 |
Fabrication of sub-5 nm gaps between metallic electrodes using conventional lithographic techniques Steinmann P, Weaver JMR |
3182 - 3185 |
Nanoscale electronics based on two-dimensional dopant patterns in silicon Shen TC, Kline JS, Schenkel T, Robinson SJ, Ji JY, Yang C, Du RR, Tucker JR |
3186 - 3190 |
25 nm mechanically buttressed high aspect ratio zone plates: Fabrication and performance Olynick DL, Harteneck BD, Veklerov E, Tendulkar M, Liddle JA, Kilcoyne ALD, Tyliszczak T |
3191 - 3195 |
Patterned grafting of polymer brushes onto flexible polymer substrates Padeste C, Solak HH, Brack HP, Slaski M, Gursel SA, Scherer GG |
3196 - 3201 |
Structure quality of high aspect ratio sub-micron polymer structures patterned at the electron storage ring ANKA Achenbach S, Mappes T, Mohr J |
3202 - 3205 |
Direct metal pattern writing by VUV photodissociation Yan JX, Gupta MC |
3206 - 3209 |
Assessment of lithographic process variation effects in InGaAsP annular Bragg resonator lasers Green WMJ, Scheuer J, DeRose GA, Yariv A, Scherer A |
3210 - 3213 |
Plasma doping technology for fabrication of nanoscale metal-oxide-semiconductor devices Cho WJ, Im K, Ahn CG, Yang JH, Oh J, Baek IBO, Lee S |
3214 - 3216 |
Towards intersubband quantum box lasers: Electron-beam lithography update Tsvid G, D'Souza M, Botez D, Hawkins B, Khandekar A, Kuech T, Zory P |
3217 - 3220 |
Scanning thermal lithography: Maskless, submicron thermochemical patterning of photoresist by ultracompliant probes Basu AS, McNamara S, Gianchandani YB |
3221 - 3223 |
In situ observation of carbon-nanopi liar tubulization process Ichihashi T, Ishida M, Ochiai Y, Fujita J |
3224 - 3228 |
Impact of residual layer uniformity on UV stabilization after embossing Wissen M, Schulz H, Bogdanski N, Scheer HC, Hirai Y, Kikuta H, Ahrens G, Reuther F, Glinsner T |
3229 - 3232 |
High resolution lithography with PDMS molds Bender M, Plachetka U, Ran J, Fuchs A, Vratzov B, Kurz H, Glinsner T, Lindner F |
3233 - 3241 |
Effect of fluoroalkyl substituents on the reactions of alkylchlorosilanes with mold surfaces for nanoimprint lithography Chen JK, Ko FH, Hsieh KF, Chou CT, Chang FC |
3242 - 3245 |
Interferometric in situ alignment for UV-based nanoimprint Fuchs A, Vratzov B, Wahlbrink T, Georgiev Y, Kurz H |
3246 - 3250 |
Stamps for nanoimprint lithography by extreme ultraviolet interference lithography Park S, Schift H, Solak HH, Gobrecht J |
3251 - 3256 |
Duo-mold imprinting of three-dimensional polymeric structures Kong YP, Low HY, Pang SW, Yee AF |
3257 - 3259 |
Direct imprint of sub-10 nm features into metal using diamond and SiC stamps Lister KA, Thoms S, Macintyre DS, Wilkinson CDW, Weaver JMR, Casey BG |
3260 - 3264 |
High fidelity blazed grating replication using nanoimprint lithography Chang CH, Montoya JC, Akilian M, Lapsa A, Heilmann RK, Schattenburg ML, Li M, Flanagan KA, Rasmussen AP, Seely JF, Laming JM, Kjornrattanawanich B, Goray LI |
3265 - 3270 |
Fabrication of a surface acoustic wave-based correlator using step-and-flash imprint lithography Cardinale GF, Skinner JL, Talin AA, Brocato RW, Palmer DW, Mancini DP, Dauksher WJ, Gehoski K, Le N, Nordquist KJ, Resnick DJ |
3271 - 3274 |
Imprint lithography issues in the fabrication of high electron mobility transistors Thoms S, Macintyre DS, Moran D, Thayne I |
3275 - 3278 |
DNA nanopatterning with self-organization by using nanoimprint Ohtake T, Nakamatsu K, Matsui S, Tabata H, Kawai T |
3279 - 3282 |
Predicting the fluid behavior during the dispensing process for step-and-flash imprint lithography Abdo A, Schuetter S, Nellis G, Wei A, Engelstad R, Truskett V |
3283 - 3287 |
Process development and characterization of antisticking layers on nickel-based stamps designed for nanoimprint lithography Keil M, Beck M, Frennesson G, Theander E, Bolmsjo E, Montelius L, Heidari B |
3288 - 3293 |
Simulation and experimental study of polymer deformation in nanoimprint lithography Hirai Y, Konishi T, Yoshikawa T, Yoshida S |
3294 - 3299 |
Stability of functional polymers after plasticizer-assisted imprint lithography Reano RM, Kong YP, Low HY, Tan L, Wang F, Pang SW, Yee AF |
3300 - 3305 |
Inspection of templates for imprint lithography Hess HF, Pettibone D, Adler D, Bertsche K, Nordquist KJ, Mancini DP, Dauksher WJ, Resnick DJ |
3306 - 3311 |
Repair of step and flash imprint lithography templates Dauksher WJ, Nordquist KJ, Le NV, Gehoski KA, Mancini DP, Resnick DJ, Casoose L, Bozak R, White R, Csuy J, Lee D |
3312 - 3317 |
Controlling imprint distortions in step-and-flash imprint lithography Schuetter SD, Dicks GA, Nellis GF, Engelstad RL, Lovell EG |
3318 - 3322 |
Mold deformation in nanoimprint lithography Lazzarino F, Gourgon C, Schiavone P, Perret C |
3323 - 3326 |
Polyvinyl alcohol templates for low cost, high resolution, complex printing Schaper CD, Miahnahri A |
3327 - 3330 |
Fabrication of 1D and 2D vertical nanomagnetic resonators Zhang S, Fan WJ, Minhas BK, Frauenglass A, Malloy KJ, Brueck SRJ |
3331 - 3334 |
Nanoscopic templates using self-assembled cylindrical diblock copolymers for patterned media Yang XM, Xiao SG, Liu C, Pelhos K, Minor K |
3335 - 3338 |
Elliptical-ring magnetic arrays fabricated using zone-plate-array lithography Jung W, Castano FJ, Ross CA, Menon R, Patel A, Moon EE, Smith HI |
3339 - 3343 |
Electron-beam SAFIER (TM) process and its application for magnetic thin-film heads Yang XM, Gentile H, Eckert A, Brankovic SR |
3344 - 3347 |
GaAs-based 1.3 mu m microlasers with.photonic crystal mirrors Scherer H, Gollub D, Kamp M, Forchel A |
3348 - 3351 |
Photonic crystals in polymers by direct electron-beam lithography presenting a photonic band gap Panepucci RR, Kim BH, Almeida VR, Jones MD |
3352 - 3355 |
Large-area patterning for photonic crystals via coherent diffraction lithography Zanke C, Qi MH, Smith HI |
3356 - 3358 |
Photonic crystal waveguides with propagation losses in the 1 dB/mm range Zimmermann J, Scherer H, Kamp M, Deubert S, Reithmaier JP, Forchel A, Marz R, Anand S |
3359 - 3362 |
Fabrication of autocloned photonic crystals by using high-density-plasma chemical vapor deposition Chen HL, Lee HF, Chao WC, Hsieh CI, Ko FH, Chu TC |
3363 - 3365 |
Fabrication of photonic crystal waveguides composed of a square lattice of dielectric rods Assefa S, Petrich GS, Kolodziejski LA, Mondol MK, Smith HI |
3366 - 3372 |
Optical inspection of next generation, lithography masks Pettibone D, Stokowski S |
3373 - 3377 |
Dynamic self-inspection of integrated circuit pattern defects Feng HY, Ye J, Pease RFW |
3378 - 3381 |
Nanometer gap measurement and verification via the chirped-Talbot effect Moon EE, Chen L, Everett PN, Mondol MK, Smith HI |
3382 - 3385 |
Scanning-spatial-phase alignment for zone-plate-array lithography Menon R, Moon EE, Mondol MK, Castano FJ, Smith HI |
3386 - 3389 |
Self-inspection of IC pattern defects Feng HY, Ye J, Pease RFW |
3390 - 3393 |
Stress estimation of patterned films using a high-energy electron beam Mizuno F, Toshinawa T |
3394 - 3398 |
Impacts of probe-tip tilt on scanning probe microscopy Mizuno F, Misumi I, Gonda S, Kurosawa T |
3399 - 3404 |
Three-dimensional simulation of top down scanning electron microscopy images Grella L, Lorusso G, Lee P, Frank F, Adler DL |
3405 - 3408 |
Technique for separately viewing multiple levels Jiang L, Feldman M |
3409 - 3414 |
Lithographically directed self-assembly of nanostructures Liddle JA, Cui Y, Alivisatos P |
3415 - 3420 |
Lithographically directed deposition of silica nanoparticles using spin coating Xia DY, Brueck SRJ |
3421 - 3425 |
Electric-field-directed growth of carbon nanotubes in two dimensions Nojeh A, Ural A, Pease RF, Dai HJ |
3426 - 3430 |
Selective growth of sculptured nanowires on microlithographic lattices Horn MW, Pickett MD, Messier R, Lakhtakia A |
3431 - 3438 |
Immersion lithography: New opportunities for semiconductor manufacturing Gil D, Brunner TA, Fonseca C, Seong N, Streefkerk B, Wagner C, Stavenga M |
3439 - 3443 |
Hyper NA water immersion lithography at 193 nm and 248 nm Smith BW, Fan YF, Zhou JM, Bourov A, Zavyalova L, Lafferty N, Cropanese F, Estroff A |
3444 - 3449 |
Predicting air entrainment due to topography during the filling and scanning process for immersion lithography Wei A, El-Morsi M, Nellis G, Abdo A, Engelstad R |
3450 - 3453 |
Fluid refractive index measurements using rough surface and prism minimum deviation techniques Synowicki RA, Pribil GK, Cooney G, Herzinger CM, Green SE, French RH, Yang MK, Burnett JH, Kaplan S |
3454 - 3458 |
Optimizing the fluid dispensing process for immersion lithography Abdo A, Nellis G, Wei A, El-Morsi M, Engelstad R, Brueck SRJ, Neumann A |
3459 - 3464 |
Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography Raub AK, Frauenglass A, Brueck SRJ, Conley W, Dammel R, Romano A, Sato M, Hinsberg W |
3465 - 3469 |
244-nm imaging interferometric lithography Frauenglass A, Smolev S, Biswas A, Brueck SRJ |
3470 - 3474 |
Near-field optical lithography using a planar silver lens Melville DOS, Blaikie RJ |
3475 - 3478 |
Sub-100 nm lithography using ultrashort wavelength of surface plasmons Srituravanich W, Fang N, Durant S, Ambati M, Sun C, Zhang X |
3479 - 3484 |
Sub-50 nm half-pitch imaging,with a low activation energy chemically amplified photoresist Wallraff GM, Medeiros DR, Sanchez M, Petrillo K, Huang WS, Rettner C, Davis B, Larson CE, Sundberg L, Brock PJ, Hinsberg WD, Houle FA, Hoffnagle JA, Goldfarb D, Temple K, Wind S, Bucchignano J |
3485 - 3488 |
High resolution electron beam lithography using a chemically amplified calix[4]arene based resist Sailer H, Ruderisch A, Henschel W, Schurig V, Kern DP |
3489 - 3492 |
Modeling and simulation of chemically amplified electron beam, x-ray, and EUV resist processes Kozawa T, Saeki A, Tagawa S |
3493 - 3496 |
Lithographic patterning of a highly metallized polymer resist system and pyrolytic or plasma treatment to afford ferromagnetic ceramics Clendenning SB, Manners I |
3497 - 3502 |
Nonaqueous development of silsesquioxane electron beam resist Schmid GM, Carpenter LE, Liddle JA |
3503 - 3508 |
Patterning via surface monolayer initiated polymerization: A study of surface initiator photoreaction kinetics McCoy K, Hess DW, Henderson CL, Tolbert LM |
3509 - 3512 |
Dissolution behavior of main-chain-fluorinated polymers for 157 nm lithography Kodani T, Ishikawa T, Yoshida T, Hayami T, Koh M, Moriya T, Yamashita T, Toriumi M, Araki T, Aoyama H, Hagiwara T, Furukawa T, Itani T, Fujii K |
3513 - 3517 |
Evaluation of outgassing from a fluorinated resist for 157 nm lithography Irie S, Hagiwara T, Fujii K, Itakura Y, Kawasa Y, Egawa K, Uchino I, Sumitani A, Itani T |
3518 - 3521 |
Impacts of 30-nm-thick resist on improving resolution performance of low-energy electron beam lithography Yoshizawa M, Moriya S, Oguni K, Nakano H, Omori S, Kitagawa T, Kotera M, Niu H |
3522 - 3524 |
Dependence of acid generation efficiency on the protection ratio of hydroxyl groups in chemically amplified electron beam, x-ray and EUV resists Yamamoto H, Kozawa T, Nakano A, Okamoto K, Tagawa S, Ando T, Sato M, Komano H |
3525 - 3527 |
Pinning effect of microliquid drop on geometrical complex substrates composed with different surface energy materials Yamanaka M, Okada A, Kawai A |
3528 - 3533 |
Miniaturized finger-size electron-beam column with ceramic-type lenses for scanning electron microscopy Miyoshi M, Okumura K, Awata S, Okada Y, Maeda T, Yamaguchi H, Amano S |
3534 - 3538 |
Low energy large scan field electron beam column for wafer inspection Liu X, Zhang X, Zhao Y, Desai A, Chen ZW |
3539 - 3542 |
Addressable field emitter array: A tool for designing field emitters and a multibeam electron source Bauerdick S, Burkhardt C, Kern DP, Nisch W |
3543 - 3546 |
Writing strategy and electron-beam system with an arbitrarily shaped beam Babin S |
3547 - 3551 |
Gated photocathode arrays as sources for multibeam electron nanolithography McCarthy J, Simonson R |
3552 - 3556 |
Preliminary evaluation of surface plasmon enhanced light transmission with a scanning 257 nm ultraviolet microscope Maldonado JR, Coyle ST, Varner JK, Moore RC, Stark PRH, Larson DN |
3557 - 3559 |
High-speed and high-precision deflectors applied in electron beam lithography system based on scanning electron microscopy Liu ZM, Gu WQ |
3560 - 3564 |
Direct spherical and chromatic aberration correction for charged particle optical systems Turnbull W |
3565 - 3569 |
Negative electron affinity group III-nitride photocathode demonstrated as a high performance electron source Machuca F, Liu Z, Maldonado JR, Coyle ST |
3570 - 3574 |
Minimal zone plates for x-ray lithography Amy D, Jiang L, Zheng R, Feldman M, Cerrina F, Dhuey S, Leonard Q, Thielman D |
3575 - 3580 |
Modeling, fabrication, and experimental application of clear x-ray phase masks Malueg DH, Taylor JW, Thielman D, Leonard Q, Dhuey S, Cerrina F |
3581 - 3584 |
Temperature distributions to correct distortions in membrane masks Jiang L, Feldman M |