화학공학소재연구정보센터

Journal of Vacuum Science & Technology B

Journal of Vacuum Science & Technology B, Vol.23, No.6 Entire volume, number list
ISSN: 1071-1023 (Print) 

In this Issue (183 articles)

L17 - L21 Possible role of oxygen impurities in degradation of nc-TiN/a-Si3N4 nanocomposites
Veprek S, Karvankova P, Veprek-Heijman MGJ
L22 - L24 Light-emitting diodes with nickel substrates fabricated by electroplating
Chang PH, Chen NC, Wang YN, Shih CF, Wu MH, Yang TH, Tzou YH, Wang SJ
L25 - L27 Passivation of zinc-tin-oxide thin-film transistors
Hong D, Wager JF
2261 - 2265 Thermal-induced formation of silver nanowires on titanium dioxide thin films
Chen TK, Chen WT, Yang MC, Wong MS
2266 - 2271 Magnetoplasmons in a pair of armchair carbon nanotubes
Lee CH, Chiu CW, Shyu FL, Lin MF
2272 - 2277 Co-gas impact of B2H6 plasma diluted with helium on the plasma doping process in a pulsed glow-discharge system
Qin S, McTeer A, Hu YJ
2278 - 2283 Self-assembled tungsten nanocrystals in high-k dielectric for nonvolatile memory application
Samanta SK, Tan ZYL, Yoo WJ, Samudra G, Lee SJ, Bera LK, Balasubramanian N
2284 - 2287 Enhancement of electroluminescence in GaN-based light-emitting diodes using an efficient current blocking layer
Jang HW, Lee JL
2288 - 2291 Effects of focused gallium ion-beam implantation on properties of nanochannels on silicon-on-insulator substrates
Pan A, Wang YL, Wu CS, Chen CD, Liu NW
2292 - 2296 Selective growth of vertical ZnO nanowires on ZnO : Ga/Si3N4/SiO2/Si templates
Hsu CL, Chang SJ, Hung HC, Lin YR, Lu TH, Tseng YK, Chen IC
2297 - 2303 Tip characterization and surface reconstruction of complex structures with critical dimension atomic force microscopy
Dahlen G, Osborn M, Okulan N, Foreman W, Chand A, Foucher J
2304 - 2308 Effect of a thin W interlayer on the thermal stability and electrical characteristics of NiSi film
Huang W, Zhang LC, Gao YZ, Jin HY, Ning BJ, Zhang GQ
2309 - 2313 Spatially selective immobilization of ferritin using solid-solution interfacial interactions
Manning E, Yau ST
2314 - 2318 Study of the buffer-layer and annealing-temperature impact on fabrication of polycrystalline Fe3O4 film for the application of spintronic devices
Tang XL, Zhang HW, Su H, Zhong ZY
2319 - 2329 Maximum achievable aspect ratio in deep reactive ion etching of silicon due to aspect ratio dependent transport and the microloading effect
Yeom J, Wu Y, Selby JC, Shannon MA
2330 - 2335 Ohmic contact formation mechanism of Ta/Al/Mo/Au and Ti/Al/Mo/Au metallizations on AlGaN/GaN HEMTs
Mohammed FM, Wang L, Selvanathan D, Hu H, Adesida I
2336 - 2339 Operation of nanocrystalline silicon ballistic emitter in low vacuum and atmospheric pressures
Ohta T, Kojima A, Hirakawa H, Iwamatsu T, Koshida N
2340 - 2346 Pattern-dependent microloading and step coverage of silicon nitride thin films deposited in a single-wafer thermal chemical vapor deposition chamber
Smith JW, Seutter SM, Iyer RS
2347 - 2350 Physical characterization of ZnO nanorods grown on Si from aqueous solution and annealed at various atmospheres
Yang CC, Chen SY, Lee HY
2351 - 2356 Observations of interfaces in direct wafer-bonded InP-GaAs structures
Lao YF, Wu HZ, Li M
2357 - 2362 Passivation effects on the stability of pentacene thin-film transistors with SnO2 prepared by ion-beam-assisted deposition
Kim WJ, Koo WH, Jo SJ, Kim CS, Baik HK, Lee J, Im S
2363 - 2368 Field emission of carbon nanotubes grown on carbon cloth
Jo SH, Huang JY, Chen S, Xiong GY, Wang DZ, Ren ZF
2369 - 2372 Effects of bonding materials in screen-printing paste on the field-emission properties of carbon nanotube cathodes
Shin HY, Chung WS, Kim KH, Cho YR, Shin BC
2373 - 2377 Characterization of bulk GaN rectifiers for hydrogen gas sensing
Voss L, Gila BP, Pearton SJ, Wang HT, Ren F
2378 - 2383 Iridium/silicon capping layer for soft x-ray and extreme ultraviolet mirrors
Prisbrey ST, Vernon SP, Clift WM
2384 - 2389 Temperature characteristics of stress-induced migration based on atom migration
Aoyagi M
2390 - 2393 Magnetoresistance of patterned NiFe thin films with structures modified by atomic force microscope nanolithography
Watanabe G, Koizumi S, Yamada T, Takemura Y, Shirakashi JI
2394 - 2397 Fabrication and characterization of CuO nanorods by a submerged arc nanoparticle synthesis system
Lo CH, Tsung TT, Chen LC
2398 - 2402 Preparation and characterization of titania nanotubes and hybrid materials derived from them
Lin YJ, Wang LY, Chiu WY
2403 - 2408 Nanoscale electron stimulated chemical vapor deposition of Au in an environmental transmission electron microscope
Ketharanathan S, Sharma R, Drucker J
2409 - 2412 Bubbles in immersion lithography
Switkes M, Rothschild M, Shedd TA, Burnett HB, Yeung MS
2413 - 2418 Photosensitive quantum dot composites and their applications in optical structures
Pang L, Tetz K, Shen YM, Chen CH, Fainman Y
2419 - 2426 Modeling of protection schemes for critical surfaces under low pressure conditions: Comparison between analytical and numerical approach
Asbach C, Pui DYH, Kim JH, Yook SJ, Fissan H
2427 - 2435 Numeric analysis of the role of liquid phase ultraviolet photochemistry in 193 nm immersion lithography
Hinsberg W, Houle F
2436 - 2443 Barrier height enhancement and stability of the Au/n-InP Schottky barrier diodes oxidized by absorbed water vapor
Cetin H, Ayyildiz E, Turut A
2444 - 2448 Formation of Ti-Si-N film using low frequency, high density inductively coupled plasma process
Ee YC, Chen Z, Chan L, See KH, Law SB, Xu S, Tsakadze ZL, Rutkevych PP, Zeng KY, Shen L
2449 - 2456 High-efficiency light-emitting device based on silicon nanostructures and tunneling carrier injection
Wong H, Filip V, Chu PL
2457 - 2464 Diamond nanorods
Shenderova OA, Padgett CW, Hu Z, Brenner DW
2465 - 2470 Various driving modes of a field emission cathode
Choi YS
2471 - 2475 Nanoscale fabrication in aqueous KOH solution using tribo-nanolithography
Kawasegi N, Park JW, Morita N, Yamada S, Takano N, Oyama T, Ashida K
2476 - 2479 Wing-tilt-free gallium nitride laterally grown on maskless chemical-etched sapphire-patterned substrate
Wang J, Guo LW, Jia HQ, Xing G, Wang Y, Chen H, Zhou JM
2480 - 2485 Lanthanum aluminate by atomic layer deposition and molecular beam epitaxy
Triyoso DH, Li H, Hegde RI, Yu Z, Moore K, Grant J, White BE, Tobin PJ
2486 - 2495 Structural, electrical, and mechanical properties of nc-TiC/a-SiC nanocomposite thin films
Eklund P, Emmerlich J, Hogberg H, Wilhelmsson O, Isberg P, Birch J, Persson ROA, Jansson U, Hultman L
2496 - 2498 Ultra small self-organized nitride nanotips
Ji LW, Fang TH, Hung SC, Su YK, Chang SJ, Chuang RW
2499 - 2503 Reactive-ion etching of high-Q and submicron-diameter GaAs/AlAs micropillar cavities
Varoutsis S, Laurent S, Sagnes I, Lemaitre A, Ferlazzo L, Meriadec C, Patriarche G, Robert-Philip I, Abram I
2504 - 2509 Design and simulation of ZnO-based light-emitting diode structures
Han SY, Yang H, Norton DR, Pearton SJ, Ren F, Osinsky A, Dong JW, Hertog B, Chow PP
2510 - 2513 Electrical transport of tetragonal boron nanobelts
Kirihara K, Wang Z, Kawaguchi K, Shimizu Y, Sasaki T, Koshizaki N, Hyodo H, Soga K, Kimura K
2514 - 2517 Effects of doping type and concentration on precipitation of nanometer arsenic clusters in low-temperature-grown GaAs
Lee WN, Chen YF, Huang JH, Guo XJ, Kuo CT
2518 - 2521 Acidic acrylic polymers for nanoimprint lithography on flexible substrates
Liao WC, Hsu SLC
2522 - 2525 Ultrathin pore-seal film by plasma enhanced chemical vapor deposition SiCH from tetramethylsilane
Furuya A, Yoneda K, Soda E, Yoshie T, Okamura H, Shimada M, Ohtsuka N, Ogawa S
2526 - 2529 Pulse oscillation of self-organized In0.53Ga0.47As quantum wire lasers grown on (775)B InP substrates by molecular beam epitaxy
Hino H, Shigenobu A, Ohmori K, Kitada T, Shimomura S, Hiyamizu S
2530 - 2537 Interfacial reactions in nickel/titanium ohmic contacts to n-type silicon carbide
Park JH, Holloway PH
2538 - 2544 Investigation of surface treatment schemes on n-type GaN and Al0.20Ga0.80N
Selvanathan D, Mohammed FM, Bae JO, Adesida I, Bogart KHA
2545 - 2552 Thickness and density evaluation for nanostructured thin films by glancing angle deposition
Buzea C, Kaminska K, Beydaghyan G, Brown T, Elliott C, Dean C, Robbie K
2553 - 2556 Effects of etch barrier densification on step and flash imprint lithography
Johnson S, Burns R, Kim EK, Dickey M, Schmid G, Meiring J, Burns S, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, Dauksher WJ, Resnick DJ
2557 - 2560 Phase transformations in copper oxide nanowires
Han S, Chen HY, Chu YB, Shih HC
2561 - 2563 Material and electrical analysis of hafnium titania bilayer dielectric metal-oxide-semiconductor field-effect transistors
Rhee SJ, Kang CY, Choi CH, Zhang MH, Lee JC
2564 - 2566 Electrochemical fabrication of cobalt and nickel tips for scanning tunneling microscopy
Albonetti C, Cavallini M, Massi M, Moulin JF, Biscarini F
2577 - 2577 Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface
Peckerar M
2578 - 2583 Modeling and simulation for nanometrics
Neureuther AR, Ceperley D
2584 - 2588 History of extreme ultraviolet lithography
Kinoshita H
2589 - 2595 Electron-electron interaction induced beam displacement in a multiple electron beam system
Yu ML, Coyle ST, DeVore W, Shamoun B
2596 - 2600 Full wafer simulation of immersion fluid heating
El-Morsi M, Nellis G, Schuetter S, Van Peski C, Grenville A
2601 - 2606 Charting the future (and remembering the past) of optical lithography simulation
Mack CA
2607 - 2610 Dynamic alignment control for fluid-immersion lithographies using interferometric-spatial-phase imaging
Moon EE, Mondol MK, Everett PN, Smith HI
2611 - 2616 Control of the receding meniscus in immersion lithography
Burnett H, Shedd T, Nellis G, El-Morsi M, Engelstad R, Garoff S, Varanasi K
2617 - 2623 Direct patterning of spin-on glass with 157 nm lithography: Application to nanoscale crystal growth
Bloomstein TM, Juodawlkis PW, Swint RB, Cann SG, Deneault SJ, Efremow NN, Hardy DE, Marchant MF, Napoleone A, Oakley DC, Rothschild M
2624 - 2630 Hybrid exposure strategy: Combining e-beam direct writing with optical lithography for magnetic recording heads
Yang XM
2631 - 2635 Use of optical defocus components to investigate and improve pattern spatial frequency characteristics for more robust layouts
Melvin LS, Shiely JP, Yan QL
2636 - 2639 Deep subwavelength nanolithography using localized surface plasmon modes on planar silver mask
Srituravanich W, Durant S, Lee H, Sun C, Zhang X
2640 - 2645 Doppler writing and linewidth control for scanning beam interference lithography
Montoya JC, Chang CH, Heilmann RK, Schattenburg ML
2646 - 2652 Screening layouts for high-numerical aperture and polarization effects using pattern matching
McIntyre GR, Holwill J, Neureuther A, Capodieci L, Zou Y, Levinson H, Kye J
2653 - 2656 Understanding the impact of source displacement error on sub-90 nm patterns using a fresnel zone plate
Shin J, Hwang C, Lee S, Woo SG, Cho HK, Moon JT
2657 - 2661 Immersion zone-plate-array lithography
Chao D, Patel A, Barwicz T, Smith HI, Menon R
2662 - 2667 Pumped quantum systems: Immersion fluids of the future?
Anant V, Radmark M, Abouraddy AF, Killian TC, Berggren KK
2668 - 2674 Hyper high numerical aperature achromatic interferometer for immersion lithography at 193 nm
Charley AL, Lagrange A, Lartigue O, Simon J, Thony P, Schiavone P
2675 - 2678 Propagating modes in subwavelength cylindrical holes
Catrysse PB, Shin H, Fan SH
2679 - 2683 Full field analysis of lithography performance for ArF immersion lithography
Li YQ, Huang GS
2684 - 2693 Simulation of air bubble scattering effects in 193 nm immersion interferometric lithography
Lin CH, Wang LA
2694 - 2699 Fabrication of enclosed nanochannels using silica nanoparticles
Xia DY, Brueck SRJ
2700 - 2704 Large-area, infrared nanophotonic materials fabricated using interferometric lithography
Fan WJ, Zhang S, Malloy KJ, Brueck SRJ
2705 - 2710 Achromatic spatial frequency multiplication: A method for production of nanometer-scale periodic structures
Solak HH, Ekinci Y
2711 - 2715 Spectral analysis of line-edge roughness in polyphenol EB-resists and its impact on transistor performance
Yamaguchi A, Fukuda H, Arai T, Yamamoto J, Hirayama T, Shiono D, Hada H, Onodera J
2716 - 2720 Proton and anion distribution and line edge roughness of chemically amplified electron beam resist
Kozawa T, Yamamoto H, Saeki A, Tagawa S
2721 - 2727 Static and dynamic contact angles of water on photoresist
Burnett H, Shedd T, Nellis G, Van Peski C
2728 - 2732 Study on acid generation from polymer
Yamamoto H, Kozawa T, Nakano A, Okamoto K, Tagawa S, Ando T, Sato M, Komano H
2733 - 2737 Full three-dimensional characterization of 25 nm lines for chemically amplified resist simulation
Landis S, Pauliac S, Foucher J, Thiault J, de Crecy F
2738 - 2742 Effects of through-focus symmetry in maskless lithography using micromirror arrays
Wang JS, Hafeman S, Neureuther AR, Solgaard O
2743 - 2748 Hybrid optical maskless lithography: Scaling beyond the 45 nm node
Fritze M, Bloomstein TM, Tyrrell B, Fedynyshyn TH, Efremow NN, Hardy DE, Cann S, Lennon D, Spector S, Rothschild M, Brooker P
2749 - 2753 Dynamic chromatic aberration correction in low energy electron microscopes
Khursheed A
2754 - 2757 Performances by the electron optical system of low energy electron beam proximity projection lithography tool with a large scanning field
Kasahara H, Shishido T, Samoto N, Shimazu N
2758 - 2762 Narrow cone emission from negative electron affinity photocathodes
Liu Z, Sun Y, Pianetta P, Pease RFW
2763 - 2768 Negative charging-up contrast formation of multilayered structures with a nonpenetrating electron beam in scanning-electron microscope
Miyoshi M, Ura K
2769 - 2774 Experimental optimization of the electron-beam proximity effect forward scattering parameter
Rooks M, Belic N, Kratschmer E, Viswanathan R
2775 - 2779 Dependence of linewidth and its edge roughness on electron beam exposure dose
Kotera M, Yagura K, Niu H
2780 - 2783 Electron-beam direct writing system employing character projection exposure with production dispatching rule
Tominaga T, Nakamae K, Matsuo T, Fujioka H, Nakasugi T, Tawarayama K
2784 - 2788 SnO2 lithographic processing for nanopatterned gas sensors
Candeloro P, Comini E, Baratto C, Faglia G, Sberveglieri G, Kumar R, Carpentiero A, Di Fabrizio E
2789 - 2792 Visualization and in situ contacting of carbon nanotubes in a scanning electron microscope
Croitoru MD, Bertsche G, Kern DR, Burkhardt C, Bauerdick S, Sahakalkan S, Roth S
2793 - 2797 Towards nano-fluidics by solvent deformation of electron beam resist
Pearson JL, Cumming DRS
2798 - 2800 Ion implantation with scanning probe alignment
Persaud A, Liddle JA, Schenkel T, Bokor J, Ivanov T, Rangelow IW
2801 - 2805 Mechanical characteristics and its annealing effect of diamondlike-carbon nanosprings fabricated by focused-ion-beam chemical vapor deposition
Nakamatsu K, Nagase M, Igaki JY, Namatsu H, Matsui S
2806 - 2810 Cross beam lithography (FIB plus EBL) and dip pen nanolithography for nanoparticle conductivity measurements
Cabrini S, Barsotti RJ, Carpentiero A, Businaro L, Zaccaria RP, Stellacci F, Di Fabrizio E
2811 - 2815 Writing the identity in radio frequency identity tags with focused ion-beam implantation of transistor gates
De Marco A, Bandy W, Parsa S, Kaufmann H, Melngailis J
2816 - 2820 Analytical model of a gas phase field ionization source
Liu XF, Orloff J
2821 - 2824 Electrical properties of nanocontacts on silicon nanoparticles embedded in thin SiO2 synthesized by ultralow energy ion implantation
Ben Assayag G, Shalchian M, Coffin H, Claverie A, Grisolia J, Dumas C, Atarodi SM
2825 - 2832 Growth and simulation of high-aspect ratio nanopillars by primary and secondary electron-induced deposition
Fowlkes JD, Randolph SJ, Rack PD
2833 - 2839 Development of a multi-electron-beam source for sub-10 nm electron beam induced deposition
van Bruggen MJ, van Someren B, Kruit P
2840 - 2843 Characterization of the synchrotron-based 0.3 numerical aperture extreme ultraviolet microexposure tool at the Advanced Light Source
Naulleau P, Cain JP, Anderson E, Dean K, Denham P, Goldberg KA, Hoef B, Jackson K
2844 - 2847 Measuring line roughness through aerial image contrast variation using coherent extreme ultraviolet spatial filtering technique
Shumway MD, Naulleau P, Goldberg KA, Bokor JR
2848 - 2851 Scaling law in acceleration test of extreme ultraviolet lithography projection optics mirror contamination
Gomei Y, Takase H, Aoki T, Matsunari S, Terashima S, Kakutani Y, Niibe M
2852 - 2855 Mask defect inspection using an extreme ultraviolet microscope
Hamamoto K, Tanaka Y, Lee SY, Hosokawa N, Sakaya N, Hosoya M, Shoki T, Watanabe T, Kinoshita H
2856 - 2859 Predicting the dynamic response of an extreme ultraviolet reticle during exposure scanning
Dicks G, Mikkelson A, Engelstad R, Lovell E
2860 - 2865 Printability of nonsmoothed buried defects in extreme ultraviolet lithography mask blanks
Farys V, Charpin-Nicolle C, Richard M, Robic JY, Muffato V, Quesnel E, Postnikov S, Schiavone P, Besacier M, Smaali R, Naulleau P
2866 - 2869 Investigation of multilayer structural changes in phase and amplitude-defects correction process
Lee SY, Kim TG, Park JG, Song JK, Kim OH, Yong CW, Ahn J
2870 - 2874 Asymmetry of aerial image after mask pattern correction for off-axis incident light in extreme ultraviolet lithography
Sugawara M, Nishiyama I, Takai M
2875 - 2878 Imaging characteristics and specification of mask mean-to-target and mask uniformity according to polarization status
Lee SW, Finders J, Lee SJ, Woo SG, Cho HK
2879 - 2884 Ion emission measurements and mirror erosion studies for extreme ultraviolet lithography
Takenoshita K, Koay CS, George S, Teerawattansook S, Richardson M, Bakshi V
2885 - 2890 Extreme ultraviolet focus sensor design optimization
Goldberg KA, Teyssier MM, Liddle JA
2891 - 2895 Electrical characterization of multilayer masks for extreme ultraviolet lithography
Hartley JG, Raghunathan S, Govindaraju A
2896 - 2902 Development, installation, and performance of the X-ray stepper JSAL 5C
Leonard Q, Malueg D, Wallace J, Taylor JW, Dhuey S, Cerrina F, Boerger B, Selzer R, Yu M, Ma Y, Myers K, Trybendis M, Moon E, Smith HI
2903 - 2909 Large area and wide dimension range X-ray lithography for lithographite, galvanoformung, and abformung process using energy variable synchrotron radiation
Utsumi Y, Kishimoto T
2910 - 2913 Functionalized SU-8 patterned with X-ray lithography
Balslev S, Romanato F
2914 - 2919 4 Inch lift-off process by trilayer nanoimprint lithography
Tallal J, Berton K, Gordon M, Peyrade D
2920 - 2924 Fabrication of three-dimensional stamps for embossing techniques by lithographically controlled isotropic wet etching
Tormen M, Carpentiero A, Vaccari L, Altissimo M, Ferrari E, Cojoc D, Di Fabrizio E
2925 - 2928 Ultraviolet-based nanoimprint at reduced environmental pressure
Fuchs A, Bender M, Plachetka U, Hermanns U, Kurz H
2929 - 2932 Enhanced molecular patterning via microdisplacement printing
Dameron AA, Hampton JR, Gillmor SD, Hohman JN, Weiss PS
2933 - 2938 Defect control in nanoimprint lithography
Chen L, Deng XG, Wang J, Takahashi K, Liu F
2939 - 2943 Fabrication of 1/4 wave plate by nanocasting lithography
Yoshikawa T, Konishi T, Nakajima M, Kikuta H, Kawata H, Hirai Y
2944 - 2949 Topas-based lab-on-a-chip microsystems fabricated by thermal nanoimprint lithography
Bilenberg B, Hansen M, Johansen D, Ozkapici V, Jeppesen C, Szabo P, Obieta IM, Arroyo O, Tegenfeldt JO, Kristensen A
2950 - 2953 High performance 100 mm-in-diameter true zero-order waveplates fabricated by imprint lithography
Wang JJ, Deng XG, Liu F, Chen L, Nikolov A, Liu XM, Deng JD, Sciortino P
2954 - 2957 Three-dimensional polymer structures fabricated by reversal ultraviolet-curing imprint lithography
Kehagias N, Zelsmann M, Torres CMS, Pfeiffer K, Ahrens G, Gruetzner G
2958 - 2962 Simulations of nonuniform embossing: The effect of asymmetric neighbor cavities on polymer flow during nanoimprint lithography
Rowland HD, King WP, Sun AC, Schunk PR
2963 - 2966 Polymer time constants during low temperature nanoimprint lithography
Scheer HC, Bogdanski N, Wissen M, Konishi T, Hirai Y
2967 - 2971 Vinyl ether formulations for step and flash imprint lithography
Kim EK, Stewart MD, Wu K, Palmieri FL, Dickey MD, Ekerdt JG, Willson CG
2972 - 2978 Fabrication and surface chemistry of nanoscale bioarrays designed for the study of cytoskeletal protein binding interactions and their effect on cell motility
Cherniavskaya O, Chen CJ, Heller E, Sun E, Provezano J, Kam L, Hone J, Sheetz MP, Wind SJ
2979 - 2983 Arrays of high-Q high stability ultrahigh-frequency resonators for chemical/biological sensors
Kubena RL, Chang DT, Stratton FP, Joyce RJ, Hsu TY, Lim MK, M'Closkey RT
2984 - 2989 Effects of nanoimprinted patterns in tissue-culture polystyrene on cell behavior
Hu W, Yim EKF, Reano RM, Leong KW, Pang SW
2990 - 2994 Three-dimensional digital scanner based on micromachined micromirror for the metrological measurement of the human ear canal
Prasciolu M, Malureanu R, Cabrini S, Cojoc D, Businaro L, Carpentiero A, Kumar R, Di Fabrizio E
2995 - 2999 Sealed three-dimensional nanochannels
Reano RM, Pang SW
3000 - 3005 Self-aligned platinum-silicide nanowires for biomolecule sensing
Ko FH, Yeh ZH, Chen CC, Liu TF
3006 - 3010 Resonant grating filters as refractive index sensors for chemical and biological detections
Wang JJ, Chen L, Kwan S, Liu F, Deng XG
3011 - 3014 Polymer cell culture substrates with combined nanotopographical patterns and micropatterned chemical domains
Charest JL, Eliason MT, Garcia AJ, King WP, Talin AA, Simmons BA
3015 - 3022 Advanced electron microscopy needs for nanotechnology and nanomanufacturing
Postek MT, Villarrubia JS, Vladar AE
3023 - 3027 Effect of initial resist thickness on residual layer thickness of nanoimprinted structures
Lee HJ, Ro HW, Soles CL, Jones RL, Lin EK, Wu WL, Hines DR
3028 - 3032 Traceable calibration of critical-dimension atomic force microscope linewidth measurements with nanometer uncertainty
Dixson RG, Allen RA, Guthrie WF, Cresswell MW
3033 - 3036 Local critical dimension variation from shot-noise related line edge roughness
Kruit P, Steenbrink S
3037 - 3042 Subpixel alignment for scanning-beam lithography using one-dimensional, phase-based mark detection
Krishnamurthy AV, Namepalli RV, Hastings JT
3043 - 3046 Investigation of overlay errors due to the interaction of optical and extreme ultraviolet mask fabrication processes
Zheng L, Engelstad RL, Lovell EG
3047 - 3051 Overlay alignment using optical microscopy and arbitrary surface features
Picciotto C, Gao J, Hoarau E, Wu W, Jackson W, Tong WM
3052 - 3055 Technique for estimating the angle of incidence and depth of focus of an electron beam
Mizuno F, Amemiya I, Taniguchi K
3056 - 3060 Portable coordinate measuring tool
Jiang L, Feldman M
3061 - 3064 Fabrication of high-secondary-electron-yield grids for spatial-phase-locked electron-beam lithography
Zhang F, Smith HI, Dai JF
3065 - 3068 Pattern matching between a scanning electron microscopy exposed pattern image of large-scale integrated fine structures and computer-aided design layout data by using the relaxation method
Miura K, Fujita M, Nakamae K, Fujioka H
3069 - 3074 Measurement of residual thickness using scatterometry
Fuard D, Perret C, Farys V, Gourgon C, Schiavone P
3075 - 3079 Line edge roughness characterization with a three-dimensional atomic force microscope: Transfer during gate patterning processes
Thiault J, Foucher J, Tortai JH, Joubert O, Landis S, Pauliac S
3080 - 3084 Reconstruction of pattern images from scanning electron microscope images
Feng HY, Ye J, Pease RFW
3085 - 3089 Self inspection of integrated circuits pattern defects using support vector machines
Feng HY, Ye J, Pease RF
3090 - 3093 Advanced atomic force microscopy probes: Wear resistant designs
Liu H, Klonowski M, Kneeburg D, Dahlen G, Osborn M, Bao T
3094 - 3100 Improving critical dimension accuracy and throughput by subfield scheduling in electron beam mask writing
Babin S, Kahng AB, Mandoiu II, Muddu S
3101 - 3105 Advanced photolithographic mask repair using electron beams
Liang T, Frendberg E, Lieberman B, Stivers A
3106 - 3115 Electromagnetic phenomena in advanced photomasks
Schellenberg FM, Adam K, Matteo J, Hesselink L
3116 - 3119 Innovative approach to nanoscale device fabrication and low-temperature nitride film growth
Akhadov EA, Read DE, Mueller AH, Murray J, Hoffbauer MA
3120 - 3123 Electron beam lithography patterning of sub-10 nm line using hydrogen silsesquioxane for nanoscale device applications
Baek IB, Yang JH, Cho WJ, Ahn CG, Im K, Lee S
3124 - 3128 Direct-write e-beam patterning of stimuli-responsive hydrogel nanostructures
Tirumala VR, Divan R, Ocola LE, Mancini DC
3129 - 3131 Reduction of oxide layer on Ru surface by atomic-hydrogen treatment
Nishiyama I, Oizumi H, Motai K, Izumi A, Ueno T, Akiyama H, Namiki A
3132 - 3137 Nanoscale patterning in application to materials and device structures
Erbe A, Jiang W, Bao Z, Abusch-Magder D, Tennant DM, Garfunkel E, Zhitenev N
3138 - 3142 Low damage sputter deposition of tungsten for decanano compound semiconductor transistors
Cao X, Macintyre DS, Thoms S, Li X, Zhou H, Wilkinson CDW, Holland M, Donaldson L, McEwan F, McLellend H, Thayne I
3143 - 3147 Copper germanide Ohmic contact on n-type gallium nitride using silicon tetrachloride plasma
Schuette ML, Lu W
3148 - 3152 Simulation of the cathode surface damages in a HOPFED during ion bombardment
Zhao HP, Lei W, Zhang XB, Li XH, Wang QL
3153 - 3157 Micromachined Arch-type cantilever as high sensitivity uncooled infrared detector
Ivanova K, Ivanov T, Rangelow IW
3158 - 3163 Rapid prototyping of infrared bandpass filters using aperture array lithography
Han KP, Morgan M, Ruiz A, Vemula SC, Ruchhoeft P
3164 - 3167 Monolithically integrated circular polarizers with two-layer nano-gratings fabricated by imprint lithography
Wang JJ, Liu F, Deng XG, Liu XM, Chen L, Sciortino P, Varghese R
3168 - 3173 Lithographically fabricated optical cavities for refractive index sensing
Adams M, DeRose GA, Loncar M, Scherer A
3174 - 3177 Electrodes for carbon nanotube devices by focused electron beam induced deposition of gold
Brintlinger T, Fuhrer MS, Melngailis J, Utke I, Bret T, Perentes A, Hoffmann P, Abourida M, Doppelt P
3178 - 3181 Positioning of carbon nanotubes using soft-lithography for electronics applications
Plank NOV, Ishida M, Cheung R
3182 - 3187 Direct measurements and analyses of the Coulomb effects in electron projection lithography
Yamamoto J, Yamashita H, Arimoto H, Ikeda J, Suzuki S, Kawata S
3188 - 3192 Proximity effect correction using blur map in electron projection lithography
Yamashita H, Yamamoto J, Koba F, Arimoto H
3193 - 3196 Integrated four-channel GaAs-based quantum dot laser module with photonic crystals
Scherer H, Namje K, Deubert S, Loffler A, Reithmaier JP, Kamp M, Forchel A
3197 - 3201 Fabrication of a hard mask for InP based photonic crystals: Increasing the plasma-etch selectivity of poly(methyl methacrylate) versus SiO2 and SiNx
Wuest R, Strasser P, Robin F, Erni D, Jackel H
3202 - 3204 Subcritical carbon dioxide assisted polymer nanofabrication at low temperatures
Yang Y, Lee LJ, Lu W
3205 - 3208 Formation of GaN layer on SiN surface using low-energy Ga ion implantation
Yanagisawa J, Toda M, Kitamura T, Matsumoto H, Akasaka Y
3209 - 3213 Filling high aspect-ratio nano-structures by atomic layer deposition and its applications in nano-optic devices and integrations
Wang JJ, Deng XG, Varghese R, Nikolov A, Sciortino P, Liu F, Chen L, Liu XM
3214 - 3218 Fabrication of a Si/SiO2 multiple-quantum-well light emitting diode using remote plasma enhanced chemical vapor deposition
Rolver R, Bruninghoff S, Forst M, Spangenberg B, Kurz H