1897 - 1902 |
Plane-view observation technique of silicon nanowires by transmission electron microscopy Tsutsumi T, Suzuki E, Ishii K, Kanemaru S, Maeda T, Tomizawa K |
1903 - 1905 |
Study of growth process of germanium nanocrystals using a grazing incidence x-ray diffraction method Ninomiya H, Itoh N, Rath S, Nozaki S, Morisaki H |
1906 - 1911 |
Surface-adsorbed polystyrene spheres as a template for nanosized metal particle formation: Optical properties of nanosized Au particle Takei H |
1912 - 1918 |
Role of embedded titanium nanoparticles for enhanced chemical vapor deposition diamond formation on silicon Shima R, Chakk Y, Folman M, Hoffman A, Lai F, Prawer S |
1919 - 1922 |
Fabrication of microdiamond array and electrical characterization of individual diamond microcrystals based on scanning probe microscopy Sugimura H, Ushiyama K, Sato Y, Takai O, Sakamoto Y, Takaya M, Nakagiri N |
1923 - 1929 |
Time-of-flight high-mass spectrometer observation of large size Nb clusters toward assembling of size controlled clusters Hihara T, Sumiyama K |
1930 - 1934 |
Surface potential of ferroelectric thin films investigated by scanning probe microscopy Chen XQ, Yamada H, Horiuchi T, Matsushige K, Watanabe S, Kawai M, Weiss PS |
1935 - 1940 |
Atomic force microscopy of oxidative coupling reactions of phenols with FeCl3 in the solid state Zeng QD, Wang C, Bai CL, Zeng PJ, Li Y, Yan XJ |
1941 - 1945 |
High-resolution imaging of single-stranded DNA on mica surface under ultrahigh vacuum conditions by noncontact atomic force microscopy Matsumoto T, Maeda Y, Naitoh Y, Kawai T |
1946 - 1953 |
Use of the Schiller decapitation process for the manufacture of high quality tungsten scanning tunneling microscopy tips de Raad GJ, Koenraad PM, Wolter JH |
1954 - 1958 |
Novel scanning near-field optical microscopy/atomic force microscope probes by combined micromachining and electron-beam nanolithography Zhou H, Midha A, Bruchhaus L, Mills G, Donaldson L, Weaver JMR |
1959 - 1969 |
Three behavioral states observed in field emission from single-walled carbon nanotubes Dean KA, von Allmen P, Chalamala BR |
1970 - 1986 |
Field emission properties of nanocrystalline chemically vapor deposited-diamond films Groning O, Kuttel OM, Groning P, Schlapbach L |
1987 - 1992 |
Simulation of thermionic emission from aluminum gallium nitride cathodes at elevated temperatures Hatfield CW, Bilbro GL |
1993 - 1996 |
Cold cathode field emitter array on a quadrupole mass spectrometer: Route to miniaturization Felter TE |
1997 - 2000 |
Growth and postgrowth rapid thermal annealing of InAsN/InGaAs single quantum well on InP grown by gas source molecular beam epitaxy Wang JS, Lin HH |
2001 - 2004 |
In situ preparation of the semiconductor-superconductor interface: Nb contacts on InAs quantum wells Bellomi G, Mitchell WJ, Hu EL |
2005 - 2008 |
Luminescence properties of CdS quantum dots on ZnSe Kobayashi M, Nakamura S, Kitamura K, Umeya H, Jia A, Yoshikawa A, Shimotomai M, Kato Y, Takahashi K |
2009 - 2014 |
Practical aspects of solid source molecular beam epitaxial growth of phosphorus-containing films Hoke WE, Lemonias PJ |
2015 - 2018 |
Oxygen implant isolation of n-GaN field-effect transistor structures Dang G, Cao XA, Ren F, Pearton SJ, Han J, Baca AG, Shul RJ |
2019 - 2024 |
More exact method of determination of the trap concentration of deep levels: Application to molecular beam epitaxy-grown, low temperature GaAs Halder NC, Zhao X |
2025 - 2029 |
Microscopic description of electronic structure and scattering in disordered antimonide-based heterostructures Shaw MJ, Hagon JP, Corbin EA, Jaros M |
2030 - 2033 |
Effect of defect density on the electrical characteristics of n-type GaN Schottky contacts Shiojima K, Woodall JM, Eiting CJ, Grudowski PA, Dupuis RD |
2034 - 2039 |
Plasma nitridation of thin Si layers for GaAs dielectrics Wang Z, Diatezua DM, Park DG, Chen Z, Morkoc H, Rockett A |
2040 - 2044 |
Rapid annealing study of neutron-irradiated GaAs by Rutherford backscattering spectrometry/channeling Liu J, Wang PX |
2045 - 2049 |
Real time monitoring and control of wet etching of GaAs/Al0.3Ga0.7As using real time spectroscopic ellipsometry Cho SJ, Snyder PG |
2050 - 2054 |
Via-hole etching for SiC Leerungnawarat P, Hays DC, Cho H, Pearton SJ, Strong RM, Zetterling CM, Ostling M |
2055 - 2057 |
Fast, smooth, and anisotropic etching of SiC using SF6/Ar So MS, Lim SG, Jackson TN |
2058 - 2060 |
Extreme ultraviolet emission of laser-produced plasmas using a cryogenic xenon target Schriever G, Bergmann K, Lebert R |
2061 - 2069 |
Model etch profiles for ion energy distribution functions in an inductively coupled plasma reactor Chen WJ, Abraham-Shrauner B, Woodworth JR |
2070 - 2073 |
Micromachined, reusable shadow mask for integrated optical elements grown by metalorganic chemical vapor deposition Peake GM, Zhang L, Li NY, Sarangan AM, Willison CG, Shul RJ, Hersee SD |
2074 - 2083 |
Mesoscopic hemisphere arrays far use as resist in solid state structure fabrication Green M, Tsuchiya S |
2084 - 2089 |
Application of development-free vapor photolithography in silicon nitride etching Hong XY, Duan SQ, Lu JP, Wang PQ, Chen YQ |
2090 - 2096 |
Studies on the interfacial etching reaction of development-free vapor photolithography Hong XY, Lu JP, Duan SQ, Chen QD, Wang PQ |
2097 - 2102 |
Control of photogenerated acid diffusion and evaporation by copolymerization with a basic monomer Kim JB, Kwon YG, Choi JH, Jung MH |
2103 - 2108 |
Phantom exposures of chemically amplified resists caused by acids generated in environmental air in synchrotron radiation lithography Deguchi K, Nakamura J, Kawai Y, Nakanishi K, Okada I, Fukuda M, Oda M, Kochiya H |
2109 - 2114 |
Control of spatial and transient temperature trajectories for photoresist processing El-Awady K, Schaper CD, Kailath T |
2115 - 2121 |
Analysis of Joule heating in multilevel interconnects Shen YL |
2122 - 2128 |
Use of atomic layer epitaxy for fabrication of Si/TiN/Cu structures Martensson P, Juppo M, Ritala M, Leskela M, Carlsson JO |
2129 - 2135 |
Radio frequency plasma chemical vapor deposited thin films of diamond-like carbon/SiO2 nanocomposites by way of tetraethoxysilane Senkevich JJ, Leber DE, Tutor MJ, Heiks NA, Ten Eyck GA, Scherrer DW |
2136 - 2146 |
Process integration induced thermodesorption from SiO2/SiLK resin dielectric based interconnects Baklanov MR, Muroyama M, Judelewicz M, Kondoh E, Li H, Waeterloos J, Vanhaelemeersch S, Maex K |
2147 - 2152 |
Effects of surface topography on oxide deposition rates using TEOS/O-2 chemistry Doyle JR, Feng GFJ |
2153 - 2162 |
Deuterium sintering of silicon-on-insulator structures: D diffusion and replacement reactions at the SiO2/Si interface Wallace RM, Chen PJ, Archer LB, Anthony JM |
2163 - 2171 |
Si single-electron tunneling transistor with nanoscale floating dot stacked on a Coulomb island by self-aligned process Nakajima A, Futatsugi T, Kosemura K, Fukano T, Yokoyama N |
2172 - 2177 |
Mechanical response of thin films with helical microstructures Seto MW, Robbie K, Vick D, Brett MJ, Kuhn L |
2178 - 2181 |
Study of local stress using stress-absorbing Si diaphragm Zheng DW, Wang XH, Shyu K, Chang CT, Guo Y, Sarihan V, Wen WJ, Tu KN |
2182 - 2185 |
Characterization of (Ba1-x,Sr-x)TiO3 thin films deposited on Pt/Ti/SiO2/Si substrates with different Ti buffer layer thicknesses Lee JC, Yoon SG |
2186 - 2190 |
Ion beam deposition of permanent magnet layers for liftoff processes Hegde H, Wang JS, Devasahayam AJ, Kanarov V, Hayes A, Yevtukhov R, Bozeman S, Anderson P, Tabat N, Ryan P |
2191 - 2192 |
Determination of silicon oxide layer thickness by time-of-flight secondary ion mass spectroscopy Brox O, Iltgen K, Hellweg S, Benninghoven A |
2193 - 2193 |
Low temperature metalorganic chemical vapor deposition of tungsten nitride as diffusion barrier for copper metallization (vol B17, pg 1101, 1999) Kelsey JE, Goldberg C, Nuesca G, Peterson G, Kaloyeros AE, Arkles B |
2196 - 2196 |
Microelectronics and nanometer structures - Processing, measurement, and phenomena - Preface Givens JH, Cale TS |
2197 - 2203 |
Characteristics of chemically vapor deposited TiN films prepared using tetrakis-ethylmethyl-amido-titanium Kim DH, Lim GT, Kim SK, Park JW, Lee JG |
2204 - 2209 |
Reactive ion etch of patterned and blanket silver thin films in Cl-2/O-2 and O-2 glow discharges Nguyen P, Zeng YX, Alford TL |
2210 - 2215 |
Quantitative study of chemical mechanical planarization process affected by bare silicon wafer front surface topography Xu CS, Liu J, Xia Y |
2216 - 2221 |
Dependence of the performance and reliability of n-metal-oxide-silicon field effect transistors on interlayer dielectric processing Trabzon L, Awadelkarim OO, Werking J |
2222 - 2225 |
Ultrathin oxide films deposited using electron cyclotron resonance sputter Amazawa T, Ono T, Shimada M, Matsuo S, Oikawa H |
2226 - 2238 |
Growth characterization of rapid thermal oxides Lai WH, Li MF, Chan L, Chua TC |
2239 - 2242 |
Effects of ramp-up rates on the salicide process Tan CC, Lu L, Chen SY, Shen ZX, See A, Chan LH, Chua LH, Chan TKL |
2243 - 2247 |
Selectivity to silicon nitride in chemical vapor deposition of titanium silicide Maa JS, Howard DJ, He SS, Tweet DJ, Stecker L, Stecker G, Hsu ST |
2248 - 2255 |
Minimization of chemical-mechanical planarization (CMP) defects and post-CMP cleaning Zhang LM, Raghavan S, Weling M |
2256 - 2261 |
Gap-filling property of Cu film by chemical vapor deposition Kobayashi A, Sekiguchi A, Koide T, Okada O, Zhang M, Egami A, Sunayama H |
2262 - 2271 |
Selectivity switch concept in Cu chemical mechanical planarization and its implementation on orbital tools Gotkis Y, Alamgir S, Yang L, Dai F, Mitchell F, Nguyen J, Shumway L, Walesa LR, Yang J, Nunan P, Holland K |
2272 - 2276 |
High density plasma deposited phosphosilicate glass as pre-metal dielectrics for advanced self-aligned contacts in sub 0.25 mu m device technology Yu JYE, Qiao JM, Jin B, Gopalan P, Feng JG |
2277 - 2283 |
Metal silicides synthesized by high current metal-ion implantation Liu BX, Gao KY, Zhu HN |
2284 - 2289 |
Spectroscopic ellipsometry investigation of silicide formation by rapid thermal process Hu YZ, Tay SP |
2290 - 2293 |
Plasma doping for shallow junctions Goeckner MJ, Felch SB, Fang Z, Lenoble D, Galvier J, Grouillet A, Yeap GCF, Bang D, Lin MR |
2294 - 2299 |
Optimizing Pd-Ge ohmic contact to GaAs through microstructure control Radulescu F, McCarthy JM |
2357 - 2360 |
Nondestructive technique for the characterization of deep traps at interlayer interfaces in thin-film multilayer semiconductor structures Gonzalez-Hernandez J, Prokhorov E, Gorev NB, Kodzhespirova IF, Kovalenko YA |
2361 - 2365 |
Critical influence of plating bath temperature on Cu damascene electrodeposits Jiang QT, Mikkola R, Carpenter B |
2366 - 2372 |
Characterization of organic solution deposited copper seed layers on Al(Cu) sputtered thin films O'Keefe MJ, Leedy KD, Grant JT, Fang M, Gu H, O'Keefe TJ |
2373 - 2377 |
Development of self-aligned contact technology for 0.18 mu m static random access memory devices Qiao JM, Jin B, Phatak P, Yu JY, Geha S |
2378 - 2384 |
Optical endpoint detection for chemical mechanical planarization Bibby T, Adams JA, Holland K |